你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

F4-Discovery连接MPU9250【MD6.12 keil移植】 精华  

[复制链接]
watershade 发布时间:2015-8-8 14:46
本帖最后由 watershade 于 2015-8-22 12:39 编辑 6 J7 W9 |! ]' P: v

* |0 z/ G, k7 ~! _* J% |! O- MMotion Driver6.1.2 Demo移植(需要起个大众都知道的名字,改成F4-Discovery连接MPU9250)
- ^0 T" G, |4 G3 Y1 H
' d8 g  h( {9 }/ b- X' o# K; V7 C( o
最近下载了Invensense的最新姿态传感器库Motion Driver6.1.2,内含一个F4-Discovery板子的Demo。8 `5 M3 x7 y) ~7 s, d
就准备了硬件MPU9250的测试板和F4-Disco的板子。- u3 k. g) C: k  y: U$ p* K/ b
起初以为调试很简单。不想练在连接I2C的硬件时就犯了很大的错误。NCS起初调试的时候浮空了,AD0也是浮空。这就浪费了我不少时间,一时间信心全无。还在我之前用BB-Black运行的linux系统上的I2C-Detect测试过这块传感器板子。又仔细的检查了电路和官方的PS之后就解决了问题。; ~) w( H8 {0 S' y
起初在的测试是在IAR上测试的,但是个人更喜欢keil上的全局搜索功能,就将工程移植到keil,以便后续工程的迁移。
6 S% f; A" {5 Z1 f0 u6 j( v/ b9 a' k
% n7 M4 j# |) I4 d7 K现在将IAR移植到keil的笔记和最终代码发到论坛。期望对人有些帮助。至于后续的迁移项目,视情况而定吧。
3 m) }7 E9 E3 s+ D! M' E0 _下图是利用自导的python测试程序测试的图片截屏。
* @* v4 y' z; e* q( i# ^2 K  A md62.png ) A. |- N7 ^# l- [' f  h7 J
注意在迁移的时候我将工程的标准库更新到了最新的V1.5.1.  s; [0 h5 o/ N  e) B1 v$ W
调试记录属于随笔记录性质的,所以语言可能不流畅。勉强看吧。原本是txt的文档,因为格式不允许就压缩了。
; B2 x9 B3 V1 S1 g3 Y2 \# \6 C' u因为大小有限制,所以分成压缩成两个包。 IMU调试记录.rar (3.54 KB, 下载次数: 733)

评分

参与人数 1 ST金币 -1 收起 理由
zhill -1 很给力!

查看全部评分

1 收藏 12 评论117 发布时间:2015-8-8 14:46

举报

117个回答
watershade 最优答案 回答时间:2015-8-8 18:52:09
本帖最后由 watershade 于 2015-8-9 11:46 编辑
5 E9 G7 e) F+ E' M9 e# v0 j! B; H" ~- |
因为只贴附件不够直观(因为文件是用sublime编写的,用notepad打开可能是乱码),现在将调试记录的内容粘贴如下:
. @$ e/ y# |% K3 A) W! U在调试的时候发现地址是很有意思的如果连接AD到GND的话,I2C地址是68. AD悬空或者拉高是69.# |0 V2 e# P- C- V- e2 d

4 q/ ^7 \  I. {5 E& G  s( u. T上面的情况是我出错的一个原因,另一个原因是在I2C连接时将CS浮空,但是事实上在使用I2C的时候务必将其拉高。/ Z- @, ]0 B0 x, b. `* ^

( I3 P6 g1 r2 M9 z. c- I$ E2015.08.05 使用官方提供的python测试程序测试通过。解决了困扰已久的硬件问题。本次硬件问题的解决借助了BB-Black来帮忙,使用BBB内置的I2Cdetect来测试。找到了问题。
* M. J9 ]9 z( C/ o5 n
4 }7 k( i( F3 @2 o) u$ F' @关于SPI和I2C的接线还要参考demo板和原理图说明。[UEVB]
& d# Y% h/ R1 t3 R, L( N; a; @1 d. x' \1 e4 E; T
PS-MPU-9250A-01.pdf文件第12页给出了I2C地址的描述。AD0 = 0时,地址1101000, AO0 =1时地址是1101001.在实际应用中这个字节的最低位会添加0或1.表示写或者读。所以读地址是11010001或11010011(D1或D3),写地址是11010000或11010010(D0或者D2)。
3 e+ o, \  R* \测试的下一个阶段是将项目移植到keil上面,进行测试。另一个阶段的工作是使用cube库进行移植。
, H, x+ K6 }% [
6 `, o4 R+ j7 h  F6 c; Z|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||, p* C' Q3 ~  B* V3 ~0 X1 B7 y9 L  g
第二阶段:移植到keil工程中2 C4 @7 q* s7 b4 E0 i! E- i
说明:本次移植不只是简单的复制粘贴,本次移植的目的还有一个是能够将其代码F4在不同的系列上面移植,目标之一就是F401板子。
" G  v  O; x& Y, ~& N, z; C% B本次一直是利用官方的标准库结合特定的BSP来进行移植的。在移植之前需要分析工程的代码结构。6 D. x, I2 B+ t- J4 A
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
5 _2 e& h/ A4 ~; {2 f1 看代码[]% \& R; l8 H+ u( s; s, O* ?9 t
省略。。。
. P$ O( @1 K8 ^6 H% l# T! ]
8 s9 l* ~/ u6 p* _7 k8 k# a6 g3 O2 ~, f+ }8 s3 {
. t+ l& G" j6 p$ s; A' y
2 硬件描述:' N- e, F% R3 T* g0 W* j; c' {4 r
2.1>传感器和开发板之间:( G6 R  ^! H! y- F6 Y+ X3 F
信号        MPU9250测试板                        开发板                        描述
0 z4 Z; O. |( e4 x, ?; z: i2 y! W+5V                VCC_IN(内含3.3V稳压)        +5V                                电源+) w' r+ x% g- u/ q, \, j
GND                GND                                                GND                                电源-9 Z0 R, l4 Q; w2 Y6 F3 b
SCL                SCL/SCLK                                PB10(I2C2-SCL)        I2C时钟线4 q1 S' D, G3 d5 ?# \- E
SDA                SDA/MOSI                                PB11(I2C2-SDA)        I2C数据线
- k7 c; T/ C3 j* D9 c; a, e0 s# ?: _NONE        NCS(无用须拉高)                        +3V                         高电平,MOSI时有用2 ^/ [. ?1 t6 B" F: o5 U) @
sel AD         AD/MOSI                                 GND                                拉低地址68,拉高69
6 f7 W. x" K8 C" c) `8 m$ y4 @4 P- l& _( b
2.2>UART信号通过USB转TTL(FT232)引入电脑3 p. i: F- [- Z! f: H: Z+ j2 g
开发板                FT232板子        描述
$ \/ W" R8 F& ~PA2(Tx2)        RX                        开发板信号传出( r, S# C# M- v- b& @
PA3(Rx2)        TX                         开发板信号引入) U9 [2 a2 e/ F  I& b# X# R3 O
GND                        GND                        GND4 @( A  k# y) m# N' ?
8 C0 [" K# o! Y6 d5 S  b# }
3 文件结构分析
9 D, J7 R/ @5 f5 g3.1包含目录' t4 h; S; Z, V% N7 w
@1 STM32F4xx_StdPeriph_Driver" f0 d+ ~$ Z- U- O
        |+inc{}/ l8 n  o4 u3 y% x+ r1 _
        |+src{}
' z+ b* M+ @! F- L@2        core
6 D: P% G5 |5 m1 i        |+driver
8 k; [9 O$ G, Z8 [                \+eMPL{}6 Y( ~. l5 n) E# W
                \+include{}0 V4 j3 Q  t: h! R* D4 @6 e
                \stm32L{}
- Y* L0 v/ r  X  k# X        |eMPL-hal{}: e4 @8 R6 Y; E+ S' }* u
        |mllite{}
& F3 x; q# Z5 K! P+ u5 X        |mpl
% o$ m- |' Q; M4 i@3        DeviceSupport5 N! ^. ?( S, L, {6 G* I
        |+inc{}
/ W( E+ L3 t9 b5 _        |+src{}
2 p3 H( f: E9 A0 \" h@4        Peripheral
2 X$ `* y1 k. T0 S' b( F        |+inc{}8 [2 c7 A4 C% L; v# }; U
        |+src{}4 S3 h3 F. L- h9 w; u% m7 \, |
@5        User, c6 K! x; m* \) O# K
        |+inc{}2 w' a+ F4 h! K/ ?, d: y
        |+src{}                                
/ W4 V* E3 l' E& g5 @下面列出SRC
3 ]7 n0 z# o1 S( g! }$PROJ_DIR$\..\User\inc# C8 d3 L: t/ b& `
$PROJ_DIR$\..\User\src
9 g& @* U2 L( n0 E$PROJ_DIR$\..\Peripheral\inc0 L! ^; e" L% V
$PROJ_DIR$\..\Peripheral\src5 t) e: Z; j5 T3 x2 v
$PROJ_DIR$\..\STM32F4xx_StdPeriph_Driver\inc- Z# K( o/ L) {: o6 [% e" F+ U; ?
$PROJ_DIR$\..\STM32F4xx_StdPeriph_Driver\src
; p& V6 u6 v" I( n9 k% q$PROJ_DIR$\..\DeviceSupport\inc, b+ `: R) ]! P% t& U; h* M
$PROJ_DIR$\..\DeviceSupport\src' u6 A( ^0 I1 ]4 Y9 ?' @0 x; h! z
$PROJ_DIR$\..\core\driver\include0 j0 ]( e+ b4 N  Z
$PROJ_DIR$\..\core\driver\eMPL9 H: {# p' X4 H0 }' B1 M
$PROJ_DIR$\..\core\driver\stm32l& w) B' e  a: N
$PROJ_DIR$\..\core\mllite8 i2 A) Q% v' Q6 `* a0 {  X! F$ O, ^
$PROJ_DIR$\..\core\mpl) o9 O& X/ y/ D) h" p6 @6 ]5 I8 D
$PROJ_DIR$\..\core\eMPL-hal
1 _! Y+ x7 K* k; u转换到keil是(keil目录在):
  e5 J; s, Y( i, {' h..\User\inc1 g3 Q* l6 r4 [0 T7 h/ a
..\User\src* t+ o7 ?7 }% T5 V+ {5 s: e
..\Peripheral\inc
. W: Q; v8 {9 M2 s+ ?* B..\Peripheral\src
* T6 s. X) |% u  j8 W  r..\STM32F4xx_StdPeriph_Driver\inc
6 b5 x6 j; u" @1 {9 E..\STM32F4xx_StdPeriph_Driver\src
  i7 c/ T* w0 x2 x" R3 b. D( y..\DeviceSupport\inc
: d0 V+ l; K+ ^% S, `* K2 [8 y..\DeviceSupport\src' K/ Q/ A/ y" O* x* B) m7 R
..\core\driver\include
% V7 C8 t6 |" H: s..\core\driver\eMPL
' a- n$ m" x" a$ e+ K* o: X..\core\driver\stm32l
- C/ q8 T& }* M3 j..\core\mllite% \5 K" G! Y3 W0 n% R4 G) n( ?
..\core\mpl. E) B" c6 B4 F
..\core\eMPL-hal
6 E4 ~- M% }, w( g" }) x  ^keil工程位于新建的MDK-ARM文件夹内0 {$ q4 I5 ^* |6 X4 g( L
@6        MDK-ARM
' P/ ^$ F- L  R; z& b5 R也新建下面目录存储二进制文件7 i  F0 o' c3 e
@7        Binary) c( U0 G6 M5 R( A- X
+ |7 `% r( W) m' e
3.2预处理命令:
  r9 y( G5 I4 Y- z" WUSE_STDPERIPH_DRIVER
4 ^9 Y0 z0 g0 SUSE_DMP  D) e: R7 [) W+ _1 p! y4 T
MPL_LOG_NDEBUG=1
  I. b& p6 K8 C6 a; V7 kEMPL* I9 l* t* W1 j4 z  g. \
MPU9250' B$ P: L  M/ o% e* L
EMPL_TARGET_STM32F41 F9 J. F9 C2 ?3 I" F
迁移到keil:5 w. d8 R6 R1 N$ v; D
USE_STDPERIPH_DRIVER,USE_DMP,MPL_LOG_NDEBUG=1,EMPL,MPU9250,,EMPL_TARGET_STM32F46 J& t& {: S4 K$ O; v. K) V
在keil的标准库中还需要添加设备名称F407的名称对应STM32F40_41xxx4 X; R/ o/ B$ P
这部分可参考文件stm32f4xx.h9 I+ F  R. o$ r2 Z! j: j
  /* #define STM32F40_41xxx */   /*!< STM32F405RG, STM32F405VG, STM32F405ZG, STM32F415RG, STM32F415VG, STM32F415ZG,  
# ?( u/ G5 d4 v0 d/ }1 q                                      STM32F407VG, STM32F407VE, STM32F407ZG, STM32F407ZE, STM32F407IG, STM32F407IE,
# Q9 |7 i# `/ O8 `% ^; j, j" A                                      STM32F417VG, STM32F417VE, STM32F417ZG, STM32F417ZE, STM32F417IG and STM32F417IE Devices */
* v4 Q! D; e( l2 i( ~$ x  J8 ]( M8 `9 ~4 f" ?- u
  /* #define STM32F427_437xx */  /*!< STM32F427VG, STM32F427VI, STM32F427ZG, STM32F427ZI, STM32F427IG, STM32F427II,  
% ~5 x) W: G; o. i. [# E9 L                                      STM32F437VG, STM32F437VI, STM32F437ZG, STM32F437ZI, STM32F437IG, STM32F437II Devices */
4 r$ _6 i# j/ M( ]6 J
% K+ u; |6 Y" v  /* #define STM32F429_439xx */  /*!< STM32F429VG, STM32F429VI, STM32F429ZG, STM32F429ZI, STM32F429BG, STM32F429BI,  
/ [3 S% r! ?2 }5 u, A" u9 K                                      STM32F429NG, STM32F439NI, STM32F429IG, STM32F429II, STM32F439VG, STM32F439VI, 3 C" r7 e$ Z# [$ U3 I  m) E! m6 v
                                      STM32F439ZG, STM32F439ZI, STM32F439BG, STM32F439BI, STM32F439NG, STM32F439NI,& q0 I' |% j, G7 X2 d2 f
                                      STM32F439IG and STM32F439II Devices */* M1 {2 v+ a" ^5 x

& E9 f/ v6 V  o$ z. P" F  /* #define STM32F401xx */      /*!< STM32F401CB, STM32F401CC,  STM32F401RB, STM32F401RC, STM32F401VB, STM32F401VC  . y' A: F; s1 i5 n# B
                                      STM32F401CD, STM32F401RD, STM32F401VD, STM32F401CExx, STM32F401RE and STM32F401VE Devices */
6 l( V0 z2 J9 z5 I! n$ @( W" ~/ x/ p+ o! ]% ?, q2 G- Q0 A5 A
  /* #define STM32F411xE */      /*!< STM32F411CD, STM32F411RD, STM32F411VD, STM32F411CE, STM32F411RE and STM32F411VE Devices */9 H% x3 P8 z0 ?0 h& b2 ?
  
) L' e- H8 u) t+ B" Z  /* #define STM32F446xx */      /*!< STM32F446MC, STM32F446ME, STM32F446RC, STM32F446RE, STM32F446VC, STM32F446VE, STM32F446ZC , X* `. I6 c7 Y+ }
                                      and STM32F446ZE Devices */
( o$ ]; g$ e' q' y8 b; B( Q3 v6 d% v% J$ ]
6 D2 K5 z- S( Z+ F# W

3 U! l, v- [$ `" }8 m3.3浮点运算. U% a! b' D# V7 y
IAR:Genaeral Options>FPU>VFPv4
- Q! B3 _! c7 y2 U4 o5 dkeil: Target>Roating Point Hardware>Usr Single Precision
% M0 M- @$ T/ O( x  C$ X* a" y: H9 ~0 \3 c$ h# W" P
3.4堆栈大小
3 E. R% z  t5 x7 x. VIAR:Linker>Config>Override default>$PROJ_DIR$\stm32f40x_flash.icf>[.intec=0x08000000,ROM{S=0x8000000,E=0x080FFFFF},RAM{S=0x20000000,E=0x2001BFFF},CSTACK=0x1000,HEAP=0x800]3 L+ \: N2 ]+ R% x7 V4 _3 ~4 \/ t
Keil分别在Target>IROM1/IRAM1(保持默认),和.s文件处修改(稍后修改)。
; s; n* G; X( p5 i& N9 S/ M$ K! B5 j% a
3.5项目目录结构
" Y0 ~* W  D9 E1 _1 e参照3.1中的描述建立但是因为keil不支持多级目录所以用符号代替,同时只用包含C和s文件就足够了" I! l" ~" m3 q% r2 w- r+ ?/ M; w
3 h5 u$ ^% X4 T6 t" d) {
3.6替换.s和.a文件
3 p7 }9 C. t( \* q这两个文件分别位于core/mpl和DeviceSupport下面。) Q0 A3 ?1 i9 D
其中.a文件换成了.lib文件,因为keil不支持.a,而支持lib  G+ x$ T9 x1 D- {4 F
在更换.s文件时也配套更换了对应的.h文件" I$ ~. s4 p5 j" y, ~" V4 d
添加CMSIS目录
  u' h6 U9 r: |& |  `9 ^@8        CMSIS
2 n1 q2 M; n7 g& {* D* L内部包含Include和lib目录6 U! r  Z  s" _1 v3 {9 Y5 W

  h4 b9 D" \  [- u////////////////////////////////3 E% Z% E: J; B2 J9 O' P' F
编译不通过
  l0 v( _2 A2 Y8 g6 s: B* [' c+ U) I) y# X! U2 a) o2 U
//////////////////////////////////////////////' @1 A8 T% I) [/ E2 m. g9 b9 F
继续修改8 I) [  c& U- J; ]* d3 R! k! r
编译模式修改为c99模式,并包含micro lib之后去掉了多数错误
; @8 \' j  u4 \1 C1 D3 ?$ T目前主要的警告包含两类:
, S8 H/ F3 z5 I一类是without a new line,另一类是void参数省略提醒。
7 {) z# n3 t4 ?1 M: Q( H5 L几个小的警告:
$ g$ N4 R; Q5 [% g' \$ X2 F/ U- X还有几个警告是单精度转换成双精度类型。' A; S. j0 O; K$ B% {" z( u
__no_operation();没有定义
3 j; ^' I) ?1 R' g/ U0 |! G6 x( U5 v" \  Z4 E2 }% \" t5 z3 z3 W/ j

+ e# s& h5 ~) F% y$ \. \/ c
( i  j7 o9 {0 H% }8 F1 }7 R  i9 G6 n' y/ B# x/ `0 }% P2 ]
目前还剩余的三处错误。但实际上是一个错误,这个错误是:
. P, B, N  C; s9 i/ @- S' o1 _1        fputs错误:IAR的fputs原型是fputc(int ch),keil的fputs原型是fputc(int ch, FILE *f)
- C' E6 {6 p# Z& N9 ~/ o: A; l) r  @% _5 [# `' Q9 K8 Q9 p. {6 [! X# ^/ G
这三个错误排出之后新增一个__no_operation()的错误,在IAR中,这一项在intrinsics.h中定义。8 q6 D( w( [# j6 H; ]9 e6 G
在keil中使用nop函数来替代,这个函数在intrins.h。但是这个语法不能用在ARM上。& u" y; _, X3 U4 `) w9 ]
MDK上的替代语句是:__nop()语句" Z0 l% E. F, o9 _- h7 `) R: e

7 I+ g# n% `7 Q7 Y3.7测试
7 m% W$ w- M9 I忘记连接INT到PA1上,串口连线松动。修整好之后,测试通过。( h  g4 V) c; j2 j

* S) O: }; g1 S. r
6 s1 O' q% d5 c- W
1 ?& m- @) t( U( m; E6 ]0 F+ \2 Z5 H( f- d& H$ c: l
0 t. t( D2 ^1 z+ L  L6 E+ l% c
4 B* L+ R$ f! _# O1 J5 J
  Y5 @, S- Q! H' t! }
怀念过去1 回答时间:2016-11-16 17:33:45
lzm1019 发表于 2016-3-26 18:326 ?1 C- B' A* T8 \  H. _; c
您好!对比您之前#1的代码,发现invensense_adv.h文件有变更,具体为:
4 L/ j. S1 d8 g5 N3 x- r---------------------
. |6 z' }$ Y; m$ T调用#incl ...
) m* P6 e% t/ [7 W
invensense_adv.h文件中,包含了两个mag_disturb.h  但是落下了inv_math.h没有include进去,所以楼主更改了其中一个!
ppprrr 回答时间:2015-8-25 10:03:14
watershade 发表于 2015-8-24 22:31
2 r  ~& y8 p; c  N, s现在还是不清楚你遇到的具体是什么问题?是通过SPI不能读取原始数据吧? Hom Wang 提供的程序不能工作吗 ...
  s' r- W. Y4 j  _+ I7 P
嗯,问题是这样的。MPU9250这个芯片的磁力计AK8963只有I2C接口,而加速度计陀螺仪MPU6500模块是I2C接口与SPI都有的, 08N`N[WZ$RE%S3ZB[E(5B.png 看他手册的block diagram描述应该就是这样,所以如果使用SPI接口,我们只能通过配置内部的I2C master模块,让i2c matster通过内部的i2c总线来读取磁力计数据。。。所以总结我遇到的问题就是,当使用SPI接口时,我用i2c master碰到问题了,无论如何尝试都不能通过i2c master读取磁力计,只能读到加速度和陀螺仪数据。而我使用i2c的bypass模式可以读取所有的9轴数据。他的程序我以前也试过了,初始化的时候就是失败的,所以已经放弃SPI读取地磁很久了。。不过看到你发帖,突然很激动。。希望多多交流
. Z. `  D3 R- X3 Y% S7 F/ n' Q
motianlun1111 回答时间:2015-8-21 22:19:13
像这个5.1版本的库的这个文件呢?Embedded Motion Driver v5.1.1 APIs Specification
yanhaijian 回答时间:2015-8-8 16:52:43
老师讲讲啊。

评分

参与人数 1ST金币 +30 收起 理由
沐紫 + 30 赞一个!

查看全部评分

watershade 回答时间:2015-8-8 18:47:53
yanhaijian 发表于 2015-8-8 16:52" f& b! L2 I" c1 E: p* J( r
老师讲讲啊。

; Y. O! G3 v% y刚开始移植,回头搞完了,没准发个教程。
alles_ok>>0_0<< 回答时间:2015-8-10 05:49:49
多谢大师分享,学习了。
JackieLaura 回答时间:2015-8-10 11:47:32
水哥的东西好高深,看不懂。。。
watershade 回答时间:2015-8-11 20:39:15
JackieLaura 发表于 2015-8-10 11:47; S) q; g( C- {3 k! V
水哥的东西好高深,看不懂。。。

9 |9 t3 M* v6 X. G0 T8 d7 F1 b! O自己在移植时的笔记,只是简单的记录。如果又需要,凑合看吧。看不懂问我。
motianlun1111 回答时间:2015-8-21 22:04:23
楼主你好,这个6.1.2的用户手册有没有?我找不到,
watershade 回答时间:2015-8-21 22:10:29
motianlun1111 发表于 2015-8-21 22:04
% t8 N  M' R1 i0 x" J% _1 o楼主你好,这个6.1.2的用户手册有没有?我找不到,

- I/ Z) e) u* k& o/ y, D! e有,我待会上传一下。你记得下载
watershade 回答时间:2015-8-21 22:12:59
这是invensense的motion driver手册,不知道这样上传资料违不违反规矩。如果违反,请告诉我删除,毕竟invensense只让公司注册用户下载。

App Note 1 - Motion Driver 6.12 Getting Started.pdf

下载

1.02 MB, 下载次数: 585

App Note 2- Motion Driver 6.12 Features Guide.pdf

下载

347.33 KB, 下载次数: 127

App Note 3- Motion Driver 6.12 Porting Guide.pdf

下载

684.45 KB, 下载次数: 118

MPU HW Offset Registers 1.2.pdf

下载

309.28 KB, 下载次数: 122

Orientation Matrix Transformation chart.pdf

下载

184.32 KB, 下载次数: 120

motianlun1111 回答时间:2015-8-21 22:13:39
好的,谢谢你,我是发现这个6.12的那个和5.1的还是有出入的,我现在用6.12的感觉要稳定不少,但是运动库里面还有好多函数没有用上,要看下新版的库函数说明书,才能弄好,但是我还没有找到这个函数说明书
motianlun1111 回答时间:2015-8-21 22:18:26
就只有这些吗,这些我也有,
motianlun1111 回答时间:2015-8-21 22:20:01
就是上面那个文件是5.1的库的,就是6.1的有没有也有类似的上述函数说明的文件?
motianlun1111 回答时间:2015-8-21 22:47:57
3.JPG 4.JPG 5.JPG 另外也注意到这个6.12的文件夹里面会多出第二幅图的这个压缩文件这个,是个二进制的库,我现在不知道这个库和那个6个文件有什么关系,我只是加那个6个文件到工程里面,我也可以使用,还是说那6个文件只能做6轴融合,不能9轴?,官方资料说的,二进制库里面有他们的专利算法,我不太明白,我们使用者要用到这个吗?这个二进制库做什么用处?, R) {( N8 M; k1 u

+ I# Y# r# |& q
关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版