请选择 进入手机版 | 继续访问电脑版

你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

SPI原理超详细讲解---值得一看

[复制链接]
STMCU-管管 发布时间:2020-9-29 10:39
什么是SPI

SPI 是英语Serial Peripheral interface的缩写,顾名思义就是串行外围设备接口。是Motorola(摩托罗拉)首先在其MC68HCXX系列处理器上定义的。

SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,主要应用在 EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。

; T2 Z+ o/ e& @. p  g5 f


4 x- t8 r1 T( ^: _+ ^2 S( V; y/ [

SPI主从模式
4 `- J3 m! F( {/ S4 i6 ~1 N( C

SPI分为主、从两种模式,一个SPI通讯系统需要包含一个(且只能是一个)主设备,一个或多个从设备。提供时钟的为主设备(Master),接收时钟的设备为从设备(Slave),SPI接口的读写操作,都是由主设备发起。当存在多个从设备时,通过各自的片选信号进行管理。

SPI是全双工且SPI没有定义速度限制,一般的实现通常能达到甚至超过10 Mbps


- `! @; q+ s( z5 x


, m# H1 g# ?+ i% X* {& F  ]

SPI信号线
6 i, m+ x3 M+ B7 n$ R" N8 b$ Q) ^

SPI接口一般使用四条信号线通信:, i! O& J6 ~5 S8 k8 c
SDI(数据输入),SDO(数据输出),SCK(时钟),CS(片选)

  • MISO: 主设备输入/从设备输出引脚。该引脚在从模式下发送数据,在主模式下接收数据。
  • MOSI: 主设备输出/从设备输入引脚。该引脚在主模式下发送数据,在从模式下接收数据。
  • SCLK:串行时钟信号,由主设备产生。
  • CS/SS:从设备片选信号,由主设备控制。它的功能是用来作为“片选引脚”,也就是选择指定的从设备,让主设备可以单独地与特定从设备通讯,避免数据线上的冲突。
    : }' ^, X- S6 Q& n9 w& \
    . }2 \+ I# |# [  Y$ J
+ d6 e# x6 |" M
# w5 v0 T! b# O) B- s' d
4 y  q" M: f* u! y% G# Z! X) k
+ s$ `7 U4 M' K( U' m% c1 k

硬件上为4根线。

SPI一对一
; z. x& N& b9 f  e, D) t5 ^  k3 O

1_meitu_14.jpg

0 L2 p. P  B* z. H

SPI一对多$ ?9 w  K  e/ c6 N) E8 V, {

2_meitu_15.jpg
$ b% I1 G9 s& D# k+ y3 ^$ w
  X& q& f% w) b" I4 w) V0 q
( y2 M! q" l6 u( |9 r) K9 W, H! _

SPI设备选择; J, }, x5 p  I

/ K4 v& T* x. A

SPI是[单主设备( single-master )]通信协议,这意味着总线中的只有一支中心设备能发起通信。当SPI主设备想读/写[从设备]时,它首先拉低[从设备]对应的SS线(SS是低电平有效),接着开始发送工作脉冲到时钟线上,在相应的脉冲时间上,[主设备]把信号发到MOSI实现“写”,同时可对MISO采样而实现“读”,如下图:

' n0 f, X3 ~/ q7 s' s

3_meitu_16.jpg

! J4 r2 q, H! _& q6 T! h1 X- \
- `8 z8 B& Y2 q7 P3 B% A1 B; O: n5 _, u

SPI数据发送接收
  a5 H. Q+ ^. j8 W1 z3 A' _' Z' _7 r  @2 `& {+ \0 g) \$ f" I+ e4 ]' J0 p

SPI主机和从机都有一个串行移位寄存器,主机通过向它的SPI串行寄存器写入一个字节来发起一次传输。

  • 首先拉低对应SS信号线,表示与该设备进行通信
  • 主机通过发送SCLK时钟信号,来告诉从机写数据或者读数据
    1 K8 d% W  L- e; }4 `这里要注意,SCLK时钟信号可能是低电平有效,也可能是高电平有效,因为SPI有四种模式,这个我们在下面会介绍
  • 主机(Master)将要发送的数据写到发送数据缓存区(Menory),缓存区经过移位寄存器(0~7),串行移位寄存器通过MOSI信号线将字节一位一位的移出去传送给从机,,同时MISO接口接收到的数据经过移位寄存器一位一位的移到接收缓存区。
  • 从机(Slave)也将自己的串行移位寄存器(0~7)中的内容通过MISO信号线返回给主机。同时通过MOSI信号线接收主机发送的数据,这样,两个移位寄存器中的内容就被交换。& P) s9 H8 z& `7 \1 i/ z
    $ y+ C- A6 K* l, Z
8 o9 E$ {, C6 n7 i, i; J& P
# o& ^8 f6 x6 [4 G  v, }

4_meitu_17.jpg

6 k' I2 U; A3 p, C& V; z- S
: [- Q. K" M: K8 t$ v- [" H* O
, G* v% M# t# }; M, e+ S, A7 n2 qSPI只有主模式和从模式之分,没有读和写的说法,外设的写操作和读操作是同步完成的。如果只进行写操作,主机只需忽略接收到的字节;反之,若主机要读取从机的一个字节,就必须发送一个空字节来引发从机的传输。也就是说,你发一个数据必然会收到一个数据;你要收一个数据必须也要先发一个数据。


2 I7 [+ V. g; y, o


3 z8 z+ O- g6 U% u+ s) i

SPI通信的四种模式
; b7 M8 {& @3 f! y' O3 l
4 z1 L3 w* u% }% D2 {

SPI的四种模式,简单地讲就是设置SCLK时钟信号线的那种信号为有效信号

SPI通信有4种不同的操作模式,不同的从设备可能在出厂是就是配置为某种模式,这是不能改变的;但我们的通信双方必须是工作在同一模式下,所以我们可以对我们的主设备的SPI模式进行配置,通过CPOL(时钟极性)和CPHA(时钟相位)来( P4 L; D7 Y/ @/ Y; S
控制我们主设备的通信模式,具体如下:- j: d! \, _) A1 C. b

时钟极性(CPOL)定义了时钟空闲状态电平:

  • CPOL=0,表示当SCLK=0时处于空闲态,所以有效状态就是SCLK处于高电平时
  • CPOL=1,表示当SCLK=1时处于空闲态,所以有效状态就是SCLK处于低电平时3 s; O; r& |8 _. O2 |$ y

    * W: v1 m/ q! D5 D3 d4 ~" `" O

时钟相位(CPHA)定义数据的采集时间。

  • CPHA=0,在时钟的第一个跳变沿(上升沿或下降沿)进行数据采样。,在第2个边沿发送数据
  • CPHA=1,在时钟的第二个跳变沿(上升沿或下降沿)进行数据采样。,在第1个边沿发送数据
    6 @- z8 c# U( M( {  E

    2 ?  @( o0 W1 N; M$ A& ^' n

例如:

  • Mode0:CPOL=0,CPHA=0:此时空闲态时,SCLK处于低电平,数据采样是在第1个边沿,也就是SCLK由低电平到高电平的跳变,所以数据采样是在上升沿(准备数据),(发送数据)数据发送是在下降沿。

  • Mode1:CPOL=0,CPHA=1:此时空闲态时,SCLK处于低电平,数据发送是在第1个边沿,也就是SCLK由低电平到高电平的跳变,所以数据采样是在下降沿,数据发送是在上升沿。

  • Mode2:CPOL=1,CPHA=0:此时空闲态时,SCLK处于高电平,数据采集是在第1个边沿,也就是SCLK由高电平到低电平的跳变,所以数据采集是在下降沿,数据发送是在上升沿。

  • Mode3:CPOL=1,CPHA=1:此时空闲态时,SCLK处于高电平,数据发送是在第1个边沿,也就是SCLK由高电平到低电平的跳变,所以数据采集是在上升沿,数据发送是在下降沿。

    / d7 z2 y6 o+ y* o: w

      x1 z$ [- w- E( G3 ^
1 \# Y3 N) S% p/ ?, `; ~6 P
2 _: B7 e: Q. m

5_meitu_18.jpg

2 x' c  ?+ u) @# ?$ Z8 ~  o& E4 D

6_meitu_19.jpg
; f) }) L" Z$ h
# I& g0 I2 u6 f. E
& F  c4 _8 S1 u1 a% F5 u: z9 Q, z
7_meitu_20.jpg
2 c) V( D2 d0 X

9 e% B5 ]: s7 z1 L* Q7 N6 ^0 L; X8 ]/ }/ q

它们的区别是定义了在时钟脉冲的哪条边沿转换(toggles)输出信号,哪条边沿采样输入信号,还有时钟脉冲的稳定电平值(就是时钟信号无效时是高还是低)。每种模式由一对参数刻画,它们称为时钟极(clock polarity)CPOL与时钟期(clock phase)CPHA。
; a+ [2 @' w5 _, [. {. H5 X

SPI的通信协议* Q, D* M' ^& r3 i3 B

2 X" e5 T9 _5 E6 I/ v* O# N2 U( W

8_meitu_21.jpg

  }$ G% ]9 R$ Y9 _, U

$ _. Z% f( q5 T% A

主从设备必须使用相同的工作模式——SCLK、CPOL 和 CPHA,才能正常工作。如果有多个从设备,并且它们使用了不同的工作模式,那么主设备必须在读写不同从设备时需要重新修改对应从设备的模式。以上SPI总线协议的主要内容。

是不是感觉,这就完了? SPI就是如此,他没有规定最大传输速率,没有地址方案,也没规定通信应答机制,没有规定流控制规则。

只要四根信号线连接正确,SPI模式相同,将CS/SS信号线拉低,即可以直接通信,一次一个字节的传输,读写数据同时操作,这就是SPI

些通信控制都得通过SPI设备自行实现,SPI并不关心物理接口的电气特性,例如信号的标准电压。

PS:( H% S( |: y" S4 ]. ~
这也是SPI接口的一个缺点:没有指定的流控制,没有应答机制确认是否接收到数据。

! H  s* ]; d( ]/ Q- w4 g8 @3 u


- v2 Z5 I  t3 l3 R

SPI的三种模式
1 |; \# E& y8 e8 l4 {# r% j
0 K3 Q8 J- l7 e+ ^1 e

SPI工作在3中模式下,分别是运行、等待和停止。

运行模式(Run Mode)
. d1 Z) P) r9 a3 o% N( N这是基本的操作模式

等待模式(Wait Mode)
1 u9 R. @; f( I5 u9 _. \  bSPI工作在等待模式是一种可配置的低功耗模式,可以通过SPICR2寄存器的SPISWAI位进行控制。在等待模式下,如果SPISWAI位清0,SPI操作类似于运行模式。如果SPISWAI位置1,SPI进入低功耗状态,并且SPI时钟将关闭。如果SPI配置为主机,所有的传输将停止,但是会在CPU进入运行模式后重新开始。如果SPI配置为从机,会继续接收和传输一个字节,这样就保证从机与主机同步。

停止模式(Stop Mode)+ C" r: |1 t/ E) P2 R3 C* e
为了降低功耗,SPI在停止模式是不活跃的。如果SPI配置为主机,正在进行的传输会停止,但是在CPU进入运行模式后会重新开始。如果SPI配置为从机,会继续接受和发送一个字节,这样就保证了从机与主机同步。

3 Z9 _. }) }' U( Y% J; k2 O7 X- {

& N. t, k0 r! n, b

SPI原理图连接
- ^  I* y; k+ u4 [8 n& N6 O/ r0 B2 n+ P! L; }1 j1 t. r& J

9_meitu_22.jpg

% U8 B5 G8 `7 }* n6 q; n$ ]$ k# t: g" e1 l6 C

2 ?6 e% I- p) {. |0 W**

STM32中SPI初始化配置0 g4 W2 T5 o; r
2 v) D9 V& E0 D# E

1.初始化GPIO口,配置相关引脚的复用功能,使能SPIx时钟。调用函数:void GPIO_Init();

2.使能SPI时钟总线:RCC_APB2PeriphClockCmd(RCC_APB2Periph_SPI1,ENABLE)

3.配置SPI初始化的参数,设置SPI工作模式:SPI_Init(SPI1,&SPI_Initstructure)

4.使能SPI外设:SPI_Cmd(SPI1,ENABLE);

0 {  i1 }* a# ]: o9 a% W

SPI配置设置


) X9 s; r, U% R/ c1 W' t3 g- X

/ k0 Q0 D8 k% t: Z+ O

  1. typedef struct; ]2 H. R; G+ B. W) J7 r8 |* i7 Z
  2. {
    " F3 I& c1 r$ K
  3. uint16_t SPI_Direction; /*!< 传输方向,两向全双工,单向接收等*/+ Z/ ~, o6 Q! w5 v" A) b
  4. uint16_t SPI_Mode; /*!< 模式选择,确定主机还是从机 */
    9 u$ R! s5 w, A5 g# C" [
  5. uint16_t SPI_DataSize; /*!< 数据大小,8位还是16位 */* U7 U' M, R- `. {( a' `
  6. uint16_t SPI_CPOL; /*!< 时钟极性选择 */
    4 a1 h9 z+ L0 _# q# {9 M
  7. uint16_t SPI_CPHA; /*!< 时钟相位选择 *// q6 x% D/ ?5 @$ g8 K
  8. uint16_t SPI_NSS; /*!< 片选是硬件还是软件*/4 b. i; B: ~4 E& j; a6 u6 H
  9. uint16_t SPI_BaudRatePrescaler; /*!< 分频系数 */
    : ~5 @, q" f& {
  10. uint16_t SPI_FirstBit; /*!< 指定数据传输是从MSB还是LSB位开始的。M/ Z- v8 f6 D/ T# k' z$ H1 _& U0 e# M: ^
  11. SB就是二进制第一位,LSB就是最后一位 */& g, p9 ]& }. r+ k# K8 v
  12. uint16_t SPI_CRCPolynomial; /*!< CRC校验 ,设置 CRC 校验多项式,提高通+ ~, }7 d) m: ?9 N5 c) {
  13. 信可靠性,大于 1 即可*/
      N* Y. J$ t- U' L, `* [
  14. }SPI_InitTypeDef;
    ' z3 w. G5 F/ m; V
复制代码

3 @8 d: g5 |" u9 Y6 o- Q


: P9 }: K) }- F# k+ P- q4 H. _

20200429140851473_meitu_23.jpg


6 F9 x' c' @* p$ U4 @2 d, N9 P5 ^2 H6 i* J! I* \/ L. h
  1. void SPI2_Init(void)
    , M* a8 D2 J+ O! |8 {
  2. {. ?2 x5 F; E: z$ v
  3.         GPIO_InitTypeDef GPIO_InitStructure;
    / s' J+ |/ T  O. R: \, ]
  4.   SPI_InitTypeDef  SPI_InitStructure;
    ; x& ^  v( ~( S, h. _* I

  5. + v4 a  V7 B9 _. P9 U
  6.         RCC_APB2PeriphClockCmd(        RCC_APB2Periph_GPIOB, ENABLE );//PORTB时钟使能 9 d+ `: L  D+ u8 {
  7.         RCC_APB1PeriphClockCmd(        RCC_APB1Periph_SPI2,  ENABLE );//SPI2时钟使能        
    7 u1 J& Y+ o/ c

  8. ; e, z& N  H' G' Q- V, P" N
  9.         GPIO_InitStructure.GPIO_Pin = GPIO_Pin_13 | GPIO_Pin_14 | GPIO_Pin_15;
    ; `; R5 h, T  N4 L! L
  10.         GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;  //PB13/14/15复用推挽输出
    / }" c5 O3 i% _* e
  11.         GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    3 B* R7 T- ]6 _* Z8 `. H- b2 Y7 A
  12.         GPIO_Init(GPIOB, &GPIO_InitStructure);//初始化GPIOB0 Y+ O+ o3 C3 P7 L+ z
  13. 1 j' M3 `4 H5 C9 l6 T) P
  14.         GPIO_SetBits(GPIOB,GPIO_Pin_13|GPIO_Pin_14|GPIO_Pin_15);  //PB13/14/15上拉- C2 C6 g9 o) E2 m) H' ]: |

  15. * r( R& G5 G& Q* `2 ?% m1 |9 V0 S
  16.         SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;  //设置SPI单向或者双向的数据模式:SPI设置为双线双向全双工
    3 m; n# q1 Z" t
  17.         SPI_InitStructure.SPI_Mode = SPI_Mode_Master;                //设置SPI工作模式:设置为主SPI
    5 P, }$ O# @" l5 b; M. k
  18.         SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;                //设置SPI的数据大小:SPI发送接收8位帧结构
    7 g" T# c/ e8 k
  19.         SPI_InitStructure.SPI_CPOL = SPI_CPOL_High;                //串行同步时钟的空闲状态为高电平  ~" e6 i& h% o( e4 S! J/ y
  20.         SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge;        //串行同步时钟的第二个跳变沿(上升或下降)数据被采样
    8 |: F2 N3 G" q: ?  |  Z
  21.         SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;                //NSS信号由硬件(NSS管脚)还是软件(使用SSI位)管理:内部NSS信号有SSI位控制% d; Z% e  c8 R1 u' n, ?# |% m$ L- M
  22.         SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_256;                //定义波特率预分频的值:波特率预分频值为2562 `9 X4 j9 K0 Y9 l. J
  23.         SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;        //指定数据传输从MSB位还是LSB位开始:数据传输从MSB位开始
    ! N% w( j! K6 h) l
  24.         SPI_InitStructure.SPI_CRCPolynomial = 7;        //CRC值计算的多项式" W' q) K# h( S' K0 K5 F
  25.         SPI_Init(SPI2, &SPI_InitStructure);  //根据SPI_InitStruct中指定的参数初始化外设SPIx寄存器$ u  f( a7 Y% r8 ]) @' B

  26. & M8 E! u0 E1 G4 d/ E) ]
  27.         SPI_Cmd(SPI2, ENABLE); //使能SPI外设8 x2 A3 A: O  `/ t7 `1 N% L
  28.         ) B7 J+ Y  N# c5 d4 r0 U2 U# c
  29.         SPI2_ReadWriteByte(0xff);//启动传输                 
    * t" p# c+ T4 u* V8 E# C" R

  30. # b! T5 |! d' u7 b5 q0 s0 }
  31. }
复制代码

) r) A/ K8 f1 }' }0 R, ^1 p

SPI发送函数(标准库/HAL库)

$ J+ Q  r9 S3 ?( l8 r

  1. 标准库:% {# v. a; _2 @- W0 D0 ?  k/ L6 m% {2 Y# X
  2. u8 SPIx_ReadWriteByte(u8 TxData)
    - [. i9 w; H0 ~- F$ V8 C2 ~  Q3 V
  3. {5 P6 \5 d. M: G, u
  4.     u8 retry=0;2 _6 g0 \- \8 b. d0 Y" g6 G
  5.     while (SPI_I2S_GetFlagStatus(SPI2, SPI_I2S_FLAG_TXE) == RESET)
      L" I3 ?$ g; A, P1 [
  6.     {, H0 m+ q2 ~6 V2 A, m$ }
  7.     }//等待发送区空4 l& x* V# E; `2 u. ]9 J
  8.     SPI_I2S_SendData(SPI2, TxData); //通过外设SPIx发送一个byte 数据
    - _0 F" s3 k! x) |$ x
  9.     while (SPI_I2S_GetFlagStatus(SPI2, SPI_I2S_FLAG_RXNE) == RESET)
    / ~( H6 O# \1 z3 p& J# x
  10.     {
    ; P6 c6 I  T) Q
  11.     } //等待接收完一个byte
    ( i4 j: c8 W/ p# ]
  12.     return SPI_I2S_ReceiveData(SPI2); //返回通过SPIx最近接收的数据8 H5 \! L/ x7 o& E
  13. }, y) `3 G) n# a4 i, w

  14. : B0 I3 f/ N3 ~0 ]( M' z
  15. HLA库:' \8 |8 ^# V% P5 ?
  16. uint8_t SPI_SendByte(uint8_t byte)
    , i7 [. s6 m4 E( C6 b6 [6 f
  17. {
    # G" Y/ n  e. k) u& \) V. Q
  18.     uint8_t d_read,d_send=byte;
    " ]) H& e: j* G; a
  19.     if(HAL_SPI_TransmitReceive(&hspi1,&d_send,&d_read,1,0xFFFFFF)!=HAL_OK)
    & \* X, j% J8 o/ L) P
  20.     d_read=0XFF;
    2 n2 Q4 f* b7 d0 x$ o9 n6 J) |
  21.     return d_read;" e- g5 ]1 ?" `8 x1 q. s" t( [
复制代码

: k. y$ E. W- L- H- I* R, p. B7 R9 V+ c2 ~$ z% v. u  B- }- \1 ]
  R- L1 T% d2 T( i
1 收藏 2 评论0 发布时间:2020-9-29 10:39

举报

0个回答

所属标签

相似分享

关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版