你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

游名科技:STM32F103+TMC2160:86步进电机和驱动一体原理图/PCB...  

[复制链接]
mmuuss586 发布时间:2020-4-29 12:18
基于STM32F103+TMC2160:86步进电机和驱动一体原理图/PCB图/教程/源码/数据手册等开源分享
资料下载见附件,电脑登入
1.png
2.jpg
9 R6 w9 J. d6 x
CUBE界面引脚配置:
3.png ! \3 \1 i$ E% L; |
定时器1的PWM输出通道1设置:  R& o8 Y! v5 |) I8 h9 L
4.png
4 R& T0 @' F4 B) U7 D$ ^
4 p4 G8 k3 E! f

TMC2160_T(CODE).rar

下载

11.41 MB, 下载次数: 211

TMC2160-86Step(原理图).pdf

下载

807.07 KB, 下载次数: 276

TMC2160A_Rev1.05(数据手册).pdf

下载

2.53 MB, 下载次数: 213

TMC2160教程说明.pdf

下载

1 MB, 下载次数: 124

游名科技产品目录(2020-7-21).pdf

下载

1.05 MB, 下载次数: 33

1 收藏 2 评论71 发布时间:2020-4-29 12:18

举报

71个回答
mmuuss586 回答时间:2020-4-29 12:55:37
//定时器中断函数参考  a* n: U. Y. T" T; O2 t& N
/**, s! j. ^9 a/ r% u
  * 函数功能: 定时器中断服务函数
% Q1 Z7 L; _( \' T6 {  * 输入参数: 无
$ W9 k6 Y- r4 T6 B, F6 H. r% Z1 a  * 返 回 值: 无
; l' ?' {1 V% E. L# b$ F, C) t  * 说    明: 实现加减速过程# w; I4 ~$ R& ~' k
  */# u7 ^! \; |3 A' X
void STEPMOTOR_TIMx_IRQHandler(void)//定时器中断处理
. x. d+ i7 T7 e; T4 D$ N& A{
) f. G( ^) r& L0 Y# Y  __IO uint16_t tim_count=0;: X( {9 Q* y0 f9 }3 M% Z3 C
  // 保存新(下)一个延时周期$ j8 H2 y3 C2 L, u3 [
  uint16_t new_step_delay=0;7 i6 Z( G( ~& U! `6 g& @
  // 加速过程中最后一次延时(脉冲周期).
$ x7 y* `  v& y% L6 O0 e' s2 V. P  __IO static uint16_t last_accel_delay=0;+ X" a1 q* ^4 ?4 P7 ~& B; B/ y
  // 总移动步数计数器) C5 r3 W9 A/ G
  __IO static uint32_t step_count = 0;4 w3 W! b! J% A+ T2 u8 \! b/ u* X
  // 记录new_step_delay中的余数,提高下一步计算的精度
; m: u9 F) n; {0 y9 b  __IO static int32_t rest = 0;7 }) G: B/ L" m  v
  //定时器使用翻转模式,需要进入两次中断才输出一个完整脉冲; J' e$ C  n2 ~7 ]- h  Q0 r  @
  __IO static uint8_t i=0;
  y" l- d% q: A* n2 K1 S& n  
. n0 r; W" l+ S$ ?  if(__HAL_TIM_GET_IT_SOURCE(&htimx_STEPMOTOR, STEPMOTOR_TIM_IT_CCx) !=RESET). o7 |: v  Q, v% `
  {
# n' U1 V! g% U    // 清楚定时器中断
9 u, b' a$ m" m7 J; a. H8 \    __HAL_TIM_CLEAR_IT(&htimx_STEPMOTOR, STEPMOTOR_TIM_IT_CCx);$ r! U! A1 g6 @) S7 Y" l4 x+ k
   
" B; q4 m5 W, }, U6 U    // 设置比较值' w; K( t5 d2 O  z1 c9 f
    tim_count=__HAL_TIM_GET_COUNTER(&htimx_STEPMOTOR);
: E- Z% i  i$ }) B: ?" Z    __HAL_TIM_SET_COMPARE(&htimx_STEPMOTOR,STEPMOTOR_TIM_CHANNEL_x,tim_count+srd.step_delay);
/ j2 g  b% F6 L6 j& b5 [5 V$ Q, i: p5 y1 V+ X
    i++;     // 定时器中断次数计数值
1 a# B# [+ J* Y3 L1 L0 O    if(i==2) // 2次,说明已经输出一个完整脉冲
( g, w, @* P: |' N    {9 ?2 U. d' L8 K- ]; ^
      i=0;   // 清零定时器中断次数计数值% E/ Y5 B( P" G( H3 |
      switch(srd.run_state) // 加减速曲线阶段
7 U: _8 Y: ^. b! L      {& u. w& p+ X2 Q% P1 ^. ]
        case STOP:2 B4 E% G  z6 v  F
          step_count = 0;  // 清零步数计数器
5 ]8 @; b( m$ f          rest = 0;        // 清零余值
) M% B0 Y# O; r* ]0 X- i          // 关闭通道% n3 M# T2 \' h( H$ h; ]
          TIM_CCxChannelCmd(STEPMOTOR_TIMx, STEPMOTOR_TIM_CHANNEL_x, TIM_CCx_DISABLE);        1 l* Y. B  s. h: j5 v) k
          __HAL_TIM_CLEAR_FLAG(&htimx_STEPMOTOR, STEPMOTOR_TIM_FLAG_CCx);4 V# q- f% B( W$ r8 k2 Y
          STEPMOTOR_OUTPUT_DISABLE();: L& u( ?; t' W# x
          MotionStatus = 0;  //  电机为停止状态     
; O7 |5 |- C5 x* G          break;' m( a" Q7 \0 R4 w3 q0 z

) J; m* }( x/ n/ A" `4 P# }4 F        case ACCEL:
. u( ]$ {9 y' s7 y1 j          step_count++;      // 步数加1/ p, G/ O. d+ ~6 B2 E+ [. w2 ]
          if(srd.dir==CW)" _: _" K" T3 E. _
          {                  9 K! k% T$ l8 f  Y
            step_position++; // 绝对位置加1
$ t, z/ S. u3 L: W% N" L          }
: Z! j7 _, F  G3 x; v- o          else
" r" I& S( V; q$ Y+ D          {; F' f8 {9 Z6 z9 `/ Y/ y
            step_position--; // 绝对位置减19 Y1 b: v2 W4 }' t+ ~$ P% u7 g, h
          }; e) u& |4 N' f* n  e5 W
          srd.accel_count++; // 加速计数值加1
+ ~6 Q: ~0 m$ G0 [          new_step_delay = srd.step_delay - (((2 *srd.step_delay) + rest)/(4 * srd.accel_count + 1));//计算新(下)一步脉冲周期(时间间隔)5 n& @" x0 O& E: n- y
          rest = ((2 * srd.step_delay)+rest)%(4 * srd.accel_count + 1);// 计算余数,下次计算补上余数,减少误差
7 t! c2 ^  w( ]4 ~9 G) q9 {          if(step_count >= srd.decel_start)// 检查是够应该开始减速6 p( q+ Q+ J+ N7 [8 t; H8 Q
          {: H: S( C' \5 `+ H9 g" b
            srd.accel_count = srd.decel_val; // 加速计数值为减速阶段计数值的初始值5 O5 s5 ~# w7 R* H1 q% U
            srd.run_state = DECEL;           // 下个脉冲进入减速阶段3 |: S. K+ J: o7 P
          }( K3 v9 O3 F9 P% f
          else if(new_step_delay <= srd.min_delay) // 检查是否到达期望的最大速度
5 B5 W' P4 p9 J5 I. m  Y& {' K          {
. ~& \% R9 d& g+ }* [" x) V( d            last_accel_delay = new_step_delay; // 保存加速过程中最后一次延时(脉冲周期)- x( @' P2 j% e8 t9 d; D0 w
            new_step_delay = srd.min_delay;    // 使用min_delay(对应最大速度speed)9 F$ ~$ |2 p/ ]; s
            rest = 0;                          // 清零余值
* \$ |7 ]! ~  Q3 V            srd.run_state = RUN;               // 设置为匀速运行状态5 x8 k9 m. |0 s( U) W( f4 G% o
          }2 @& w# ]' ?, r- \0 y
          break;
" H8 a( x2 e3 Q: j& `# j/ w
- Y- R/ J/ J! ^  I        case RUN:
/ X8 [" S) t$ X, r          step_count++;  // 步数加15 A2 P/ Q! a' L7 P# M6 s( g
          if(srd.dir==CW)0 N5 ]% J* X8 H/ h. }% H: h: \
          {                  - ?3 e; f* Q& S% }  K
            step_position++; // 绝对位置加1: H0 ?- K. X, E4 I; I
          }% h9 R/ ~8 @: U: o, _5 U* X2 G. `
          else
5 m% {. c& _* X          {
  C/ ?" C0 }1 ^2 G            step_position--; // 绝对位置减1
) x+ s, ]( ?1 {7 [8 N; s- ?: u          }
; C9 d1 l6 E/ X" r3 j          new_step_delay = srd.min_delay;     // 使用min_delay(对应最大速度speed)+ u2 q6 P9 K" ~0 x) u/ L7 J
          if(step_count >= srd.decel_start)   // 需要开始减速% ?, `& `4 u. h
          {' H. T: I6 G4 Y
            srd.accel_count = srd.decel_val;  // 减速步数做为加速计数值
% Q& P: {3 }  \" J* g; k            new_step_delay = last_accel_delay;// 加阶段最后的延时做为减速阶段的起始延时(脉冲周期)
  h! W9 C: T& r9 I  A% n* C9 U# G            srd.run_state = DECEL;            // 状态改变为减速
3 y# J; v, |) i+ K3 u! p# v          }
$ n2 D6 K8 `1 l          break;# m. j; r; a; f+ h

  {: s$ ]7 x" _  L8 |/ q        case DECEL:! h: F8 w) N' Q9 W1 L% y% i
          step_count++;  // 步数加1' E. j5 J! }; s4 M1 u. i% J* o/ m8 |
          if(srd.dir==CW)
9 v4 F2 Y' V% O+ c          {                 
* c3 i) \# q! z$ J) d' L: J            step_position++; // 绝对位置加1+ r. i: F) d8 w' ?, M
          }, D0 p6 y1 M* w: O3 Z% J
          else$ X) C' f; ~1 N- @
          {
9 U+ o6 Q* v4 |; a            step_position--; // 绝对位置减17 v7 G/ _; f& h1 ^; X' K
          }
( [4 {& Y: k8 v- o7 B2 f# m          srd.accel_count++;
8 _' h, F+ i: h# o; z/ p          new_step_delay = srd.step_delay - (((2 * srd.step_delay) + rest)/(4 * srd.accel_count + 1)); //计算新(下)一步脉冲周期(时间间隔)
9 D/ W/ a9 ~8 V0 J- D          rest = ((2 * srd.step_delay)+rest)%(4 * srd.accel_count + 1);// 计算余数,下次计算补上余数,减少误差3 Y# j# Q* B* c) H$ J
         
5 m) @$ ~+ v1 t2 R3 r$ a          //检查是否为最后一步% [, f4 A5 h8 Y( h
          if(srd.accel_count >= 0)
0 {: t1 A1 _6 A* o6 v$ N          {7 h& Z+ K# A  i, |# P8 I
            srd.run_state = STOP;9 E, r1 w2 B6 }0 q9 m
          }
; r4 I7 D) ^) {( I/ `          break;
% W; K3 k1 D9 ^5 E8 u/ t% c: n. V      }      & g5 K# o+ E# q9 @3 i9 n
      srd.step_delay = new_step_delay; // 为下个(新的)延时(脉冲周期)赋值
0 T# j+ ~2 A* B" U9 _* H    }
" \+ v' ]' \0 ?) B  }0 k8 v9 r3 |" O: G, j4 {
}. X' s+ V0 M0 f: f; n! r* S
mmuuss586 回答时间:2020-4-29 12:54:33
//相对位置移动参考(参考硬石的电机开发板资料)
) a& E) y2 p3 ]; V7 {/**
- k$ v1 Q* H$ k! `% [  * 函数功能: 相对位置运动:运动给定的步数
4 P  z9 ?1 E- v- G+ H* k5 _8 f  * 输入参数: step:移动的步数 (正数为顺时针,负数为逆时针).* b& e1 e; p4 V! Y9 l: `* \7 g6 w; F
              accel  加速度,实际值为accel*0.1*rad/sec^2, Y. ~+ i- w! }1 p  ?( @
              decel  减速度,实际值为decel*0.1*rad/sec^2$ ^) r/ g3 H2 C, E
              speed  最大速度,实际值为speed*0.1*rad/sec9 h1 P8 m5 W+ p( ~2 q; j) g
  * 返 回 值: 无
& I7 D: d/ o, d  I% i3 k4 R) S  * 说    明: 以给定的步数移动步进电机,先加速到最大速度,然后在合适位置开始
1 ~6 b# _1 ^6 U; r6 s) X' F1 S  *           减速至停止,使得整个运动距离为指定的步数。如果加减速阶段很短并且/ H' y( v& o2 I8 x/ Z
  *           速度很慢,那还没达到最大速度就要开始减速1 B# \. C3 ?2 u, X/ M/ o
  */2 ]2 o' i" x, z
void STEPMOTOR_AxisMoveRel(__IO int32_t step, __IO uint32_t accel, __IO uint32_t decel, __IO uint32_t speed)+ ^4 W3 A) Y1 L$ R* m0 Z
{  
  `! J: \! }; M  __IO uint16_t tim_count;
. X0 f0 m9 g! y4 x" V7 [# f  // 达到最大速度时的步数
4 S; t# q; L* I' V4 e6 ~  __IO uint32_t max_s_lim;
, c( |7 O4 x7 f/ u6 W7 w! i/ A7 ~  // 必须要开始减速的步数(如果加速没有达到最大速度)
: r, E- b0 K$ I$ O' ?  __IO uint32_t accel_lim;' p! `/ ~% [1 Q' X) m
" \1 i% o3 T- V
  if(step < 0) // 步数为负数
3 p7 _$ k% ?" \4 M! r3 ^  {% b* k! S, G: ?* {: q; s
    srd.dir = CCW; // 逆时针方向旋转
$ [% A% k* ^( R: j4 b* L  V    STEPMOTOR_DIR_REVERSAL();
. l3 _0 `' v# M7 ~) N- C: Q+ h5 P    step =-step;   // 获取步数绝对值
; |/ W( O$ j0 P  }2 |: j- Z8 t2 C& ^
  else
' U2 C+ w' P; l" F  {
# _4 {( M1 B) ^2 f$ ^9 B    srd.dir = CW; // 顺时针方向旋转
0 I. z7 M+ Z! T$ I    STEPMOTOR_DIR_FORWARD();
! a, l4 h* q6 k2 ~) `: I  }$ k; q4 e. e: b; I
  
8 a6 ?5 y: W/ k- \8 @6 g  if(step == 1)    // 步数为1! c. x. x4 i1 F/ {
  {$ d' U' a. f1 \, a5 k+ V9 k; r
    srd.accel_count = -1;   // 只移动一步
! `8 M+ V5 N5 k4 p+ Y4 {    srd.run_state = DECEL;  // 减速状态.3 U0 S6 Y) Q& ^4 y' J) Q
    srd.step_delay = 1000;        // 短延时       
! }8 i% h. y+ c$ u/ Z3 V  }# p; Y; P0 U) p; x, }" s4 e
  else if(step != 0)  // 如果目标运动步数不为05 ?4 d# m6 l5 l6 @5 |, T/ ?: d7 m
  {
/ z7 T' V/ u4 {# T1 q" a( k+ I    // 我们的驱动器用户手册有详细的计算及推导过程$ K  J( A. K3 ~5 K3 E0 s2 }
- N2 g5 r$ {+ G$ ], c
    // 设置最大速度极限, 计算得到min_delay用于定时器的计数器的值。; L& ^: F' v( P7 G' N! r4 C
    // min_delay = (alpha / tt)/ w7 V: O; d9 h) `2 k; g! _
    srd.min_delay = (int32_t)(A_T_x10/speed);
/ G6 z) q5 \# G  s- ]" U) H
6 s  z. a* d1 P& _  J% }0 B# u' ]    // 通过计算第一个(c0) 的步进延时来设定加速度,其中accel单位为0.1rad/sec^2, N# X; R% s4 o6 o
    // step_delay = 1/tt * sqrt(2*alpha/accel)/ |1 h; h4 {8 h, x, T3 z
    // step_delay = ( tfreq*0.676/10 )*10 * sqrt( (2*alpha*100000) / (accel*10) )/1005 ^/ @$ X% Y1 t% y
    srd.step_delay = (int32_t)((T1_FREQ_148 * sqrt(A_SQ / accel))/10);! W! }, \; n4 u' o3 b) w* T
7 \; o% s/ `; M! ^5 O+ Z* j
    // 计算多少步之后达到最大速度的限制
9 o8 e/ a9 Y/ h8 e( s! n* b0 ^% D    // max_s_lim = speed^2 / (2*alpha*accel)
, N8 V+ U. `1 D0 ]* _" x% N    max_s_lim = (uint32_t)(speed*speed/(A_x200*accel/10));
- e+ n# Y) ~1 p' k- }& G    // 如果达到最大速度小于0.5步,我们将四舍五入为0
) U- r) S9 y5 o# ?3 c" c* A  d% a; C2 Z    // 但实际我们必须移动至少一步才能达到想要的速度( P+ V8 N6 k* g( h7 f! y& ]! ]9 G
    if(max_s_lim == 0){
: V; T) c8 F* U* Y, a# y# ~      max_s_lim = 1;( C( F) M( u7 |0 f6 g* e" S
    }
9 W% m" Q- E" z& A6 m
mmuuss586 回答时间:2020-4-29 12:55:03
// 计算多少步之后我们必须开始减速) g. ?. E2 `- j! D
    // n1 = (n1+n2)decel / (accel + decel)+ {3 W& k! N+ k% l) y3 |
    accel_lim = (uint32_t)(step*decel/(accel+decel));
0 {: x/ M/ m/ Z0 w" N! E3 R    // 我们必须加速至少1步才能才能开始减速.: ]# o8 O; y$ Q4 _
    if(accel_lim == 0){9 F! `, B- \  |: U, ~; M, d
      accel_lim = 1;
5 a6 y( @: k. o  ~5 ^* U: n. J! V    }! b" P, d* U: m
( J# Z& n5 M: O0 H
    // 使用限制条件我们可以计算出减速阶段步数
. _# X2 B/ X) n4 Z2 [' C    if(accel_lim <= max_s_lim){
8 ]& m# l& y6 U* P- A      srd.decel_val = accel_lim - step;+ M* L6 y' u4 l; M! v* U
    }
" V8 L6 i7 Y( w3 I; R    else{
8 E6 I, T4 E$ d0 p      srd.decel_val = -(max_s_lim*accel/decel);
& B% |. I, l+ C2 i& ?    }/ n% G* l) A/ }, T$ p
    // 当只剩下一步我们必须减速
3 s% Z9 M' f- o5 w1 q8 g1 d3 d' D    if(srd.decel_val == 0){& }% X- x) x2 G; @: f
      srd.decel_val = -1;
  Z2 J- y' a9 K* H3 T5 ^    }
( u. o3 y' j; l! P( ?  @) _% \$ X2 k
/ q5 @& ]6 G9 e0 d1 q- \: y& g    // 计算开始减速时的步数2 Q4 U) N* L$ J4 q% i- e
    srd.decel_start = step + srd.decel_val;
! n. n6 S/ i  f" ]
! l7 l! v" `, n, a, H5 W( x. Y6 E    // 如果最大速度很慢,我们就不需要进行加速运动. a/ j/ H. E+ \9 \$ j
    if(srd.step_delay <= srd.min_delay){
* j4 f0 S6 a2 Q% L      srd.step_delay = srd.min_delay;
- O* `5 @6 o' f- H8 Z1 R      srd.run_state = RUN;! L# f% L$ a7 h# t6 h" l3 W3 X
    }1 e. {7 x+ F' e. [; Q" I; w
    else{
4 Q- d& t0 s' Y) }9 A' A4 ]* X      srd.run_state = ACCEL;! e. _: V$ `8 f% C. W( L- S7 I$ v
    }   
: p, B5 Y* u  N8 |9 x( `    // 复位加速度计数值
3 G  v; ]$ c' I# G! P    srd.accel_count = 0;
, Z! Y( X8 J& \& U/ v, C  }
( r  j# k4 d1 I" j- x  c  MotionStatus = 1; // 电机为运动状态+ f9 B" {' a4 `  A( d6 a& u, S
  tim_count=__HAL_TIM_GET_COUNTER(&htimx_STEPMOTOR);
7 j! t3 x" Z! c9 h6 N' A  __HAL_TIM_SET_COMPARE(&htimx_STEPMOTOR,STEPMOTOR_TIM_CHANNEL_x,tim_count+srd.step_delay); // 设置定时器比较值
" r) S/ P/ ^0 f( `* d/ o  TIM_CCxChannelCmd(STEPMOTOR_TIMx, STEPMOTOR_TIM_CHANNEL_x, TIM_CCx_ENABLE);                                                                // 使能定时器通道
5 O; @0 V: }3 B+ x) \- p- o  STEPMOTOR_OUTPUT_ENABLE();- F1 [; L0 n0 b% j* l( _. ?3 X. k6 f' Z
}
6 e. ^: f/ D; Q) `  u) H
mmuuss586 回答时间:2020-4-29 12:32:29
一、概述
TMC2160是一款带脉冲/ 方向接口和SPI接口的高功率步进电机驱动器IC。它能够在从NEMA23到NEMA34及更高级别的步进电机中获得最佳性能。它采用业界最先进的步进电机驱动器,具有简单的Step/Dir接口。使用外部MOS管,可实现高动态,高扭矩驱动。基于TRINAMIC先进的SpreadCycle和StealthChop斩波器,该驱动器可确保绝对无噪音运行,并具有最高效率和最佳电机扭矩。 高集成度,高能效和小外形尺寸使小型化和可扩展的系统成为经济高效的解决方案。
硬件完全兼容的TMC5160提供额外的运动控制器,使步进电机控制更轻松。
参数及特点:
2相步进电机,线圈电流高达20A(外部MOSFET)
带微步插值microPlyer的Step / Dir接口
电压范围8 … 60V DC
SPI接口
最高分辨率每步完成256微步
stealthChop2,运行安静,运动平稳
共振衰减中频共振
spreadCycle高动态电机控制斩波器
dcStep负载相关的速度控制
stallGuard2高精度无传感器电机负载检测
coolStep电流控制,节能高达75%
被动制动和续流模式
全面保护和诊断
紧凑尺寸9x9mm 2 TQFP48封装
应用
2 b2 w, f2 H7 v' Z5 N5 a' @1、机器人和工业驱动器7 V. F8 ?, s2 U4 y1 ?
2、纺织、缝纫机" K6 [% a- P( P+ b: R. C
3、包装机械
- y4 f0 }3 B  _5 e1 C4、工厂和实验室自动化$ O2 o1 G* Z0 ~% k
5、高速 3D 打印机
. F; |) S( D0 l- c( m6、液体处理; w  ?. S; T- K# P
7、医疗$ k/ E  ?3 \$ Z$ k  G, u
8、办公自动化
" ^2 w0 O9 k& f/ n% s3 I0 T. v, F4 R9 S7 c9、有线闭路电视
+ F9 L7 ]5 I8 V10、自动取款机、现金回收
. {# Q+ I/ u5 E: Q* K11、泵和阀门
1.png 9 g& y( Y9 h, |+ h! F. p. j+ d

5 @/ m. A% k+ U: j+ c
mmuuss586 回答时间:2020-4-29 12:33:15
二、硬件设计9 q: _. U, M1 h' G  A# b7 a1 Q. |
电机驱动主芯片采用TMC2160
6 S6 {  S" G2 Q& i) z8 BTMC2160和5160区别:5160带UART控制及智能定位控制(如速度控制、位置控制);" K+ {) w: _) c6 {
TMC2160和TMC260 TMC262区别:以前版本需要通过SPI接口设置细分、电流等,新的TMC2160可通过拨码开关设置;
* }2 O* Z) R9 o! Y4 o- R
mmuuss586 回答时间:2020-4-29 12:34:26
原理图如下图所示:
1.png
9 K% \, D& @' W2 O6 w
4 q$ D9 V# d  M$ c3 g" G, t; i
mmuuss586 回答时间:2020-4-29 12:36:58
补充说明:光耦隔离接口如下图所示:
/ u  W* l' X5 ?" W2 v 1.png
8 f. p# q8 w2 i! l' ~5 C
! j/ R' l, f2 G$ }1 _有条件的输入输出最好加些滤波和保护,输出加脉冲整形(比如加74HC14)! g5 o7 c) ~0 ^) R2 E: e8 n

: x) e3 S$ r$ D8 }" P* C2 C* P: p
mmuuss586 回答时间:2020-4-29 12:38:45
拨码开关电路如下图所示:
1.png
9 T" J! T/ w9 L* q( U
后面开关设置说明详见第三部分;

" G1 [  a2 p0 m( \' ?
mmuuss586 回答时间:2020-4-29 12:41:05
电源接口如下图所示:
1.png
7 ]% p6 A2 E3 I) X) {* Q4 ^
母线电容CE1选择:一般是1A对应100uF左右(有条件的尽可能大);
电源保护:有条件的最好加防反接、TVS保护、以及保险丝等;
电机接口:如果对EMC要求较高的,最好加些滤波和保护,参考电路如下图
2.png
7 U, O1 {0 D. q4 ^  g

! t1 f2 l4 p# f
mmuuss586 回答时间:2020-4-29 12:43:53
主芯片电路如下图所示:
1.png 0 y9 H3 Y& o2 {1 W# z0 l1 J
具体不做阐述,可以参考TMC5160的中文手册;
关于VCC_IO和VCC电源,有条件的可以外加一个DCDC电源芯片或LDO供电,减少芯片发热;
% l6 M! V. \6 T$ }) q
mmuuss586 回答时间:2020-4-29 12:45:57
PCB参考设计(最好是4层板):
1.jpg 2.jpg
' C, \" U$ }# l4 k: o+ ~8 D: r. f

. c+ w: D) o$ [+ j
mmuuss586 回答时间:2020-4-29 12:48:12
+ d  Y3 C( w: O+ Y6 N3 k
补充说明:

. D* g9 W$ }$ R; ?$ y5 }# E
芯片底部最好不要走其它线,保证地平面完整及散热;

: a4 M! U2 T9 S: z
电流取样电阻: 2160外部MOS,要差分采样(开尔文接法);

) w' U  f8 u" y+ o
差分采样走线参考:
1.png
2 t% _$ s* X) y/ f6 ]5 y! d: H  R
4 H. D8 h3 J' k! v; l
mmuuss586 回答时间:2020-4-29 12:50:00

9 M* x! F8 G  E5 S! a
3D显示效果:
1.jpg
& D$ s1 z0 ?. U0 F+ i% E/ \

& t) o/ F  [3 q: C. P7 x0 o
mmuuss586 回答时间:2020-4-29 12:50:39
三、拨码开关设置
' k6 d( W  d3 `  y* n  k1 `+ y% Y拨码开关ON:设置为高电平1,反之低电平0: m# z% }5 m# A  b! s
细分设置:CFG1、CFG0
; m4 o9 y4 ]! q! w' K0 h7 KCFG1、CFG0:
5 t/ q6 G1 W! L        11:64细分" s  C. @4 t( A  u9 y0 i1 O, {
10:32细分
% k! M- L( x+ T01:16细分7 {$ p5 q8 w0 P, C. D3 {! D
00:8细分
# U8 u7 \" E7 b+ n, S& B0 I运行电流设置:CFG4、CFG3、CFG2( b1 J7 [9 _& v% ?6 N( L& P
CFG4、CFG3、CFG2:
5 L  J+ `3 D/ q& n2 K+ ]        111:IRUN=317 V* B' n9 E2 g* Q8 a: h* M% |
        110:IRUN=28
* R% z5 I7 w1 U! H% l% b, h        101:IRUN=26
* @1 v/ G6 d) O4 |100:IRUN=24- {& t% @* M: Z$ k
011:IRUN=22
2 t: l! d' U/ w4 U010:IRUN=20) r8 Z9 N, B% p8 z
001:IRUN=18* x' n* O- p, K/ c
000:IRUN=16
$ y$ p; C! ]" ^Irms=Vfs/(IRUN/32)/(Rsense*1.414); ; v( U& V1 c8 Q9 _
Vfs =325mV,Rsense为0.05欧时,则最大电流为4.5A左右;0 y" H1 l: B9 w& T
mmuuss586 回答时间:2020-4-29 12:51:48
1.png
; ~" A7 |1 {# A$ E* |9 r
mmuuss586 回答时间:2020-4-29 12:52:33
斩波模式选择:CFG5
5 H* _2 n+ l/ q. c" ?' s" L6 F) yCFG5:) }% q/ N) }+ f6 {
1:SpreadCyle模式,低速、低平稳运行模式
+ b" |5 x- p& n$ S: k& t/ P9 ]0:SpreadCyle模式,高速、高运动稳定模式3 U( B3 e. i/ X2 x5 s
保持电流设置:CFG6$ O, J; W4 |& W4 h2 p  _  U
CFG6:
4 W3 M4 c& w7 d1 [( R2 z1:保持电流=运行电流/2
6 k" g( a+ v! x" l$ a) q/ H0:保持电流=运行电流8 c: C: ]5 j. _; E) X
mmuuss586 回答时间:2020-4-29 12:53:13
四、软件说明; i$ j" w; J4 @! ?; L1 c
DIR+STEP接口模式位置控制(无需SPI接口)
4 Y! k$ H9 c; Q1 _  `# q" P3 n1 FCOM端:接24V或12V或5V7 ]2 e$ r4 E, r, t% w
DRV_ENN、STER、DIR:接集电极开路输出
6 m1 Z0 |- k3 e3 k3 N为测试方便:: f/ ~% m. ?" w+ x0 k/ |% ]2 h
        COM端:接3.3V
  |6 Q. q+ t2 Y* p          DRV_ENN:使能,接PB149 H5 M6 t9 @6 F
DIR:方向, PB159 p1 I. c. C8 m% q  Z6 q
STEP:脉冲,PA8/ D6 ~2 u; U; p3 l: p
12345下一页
关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版