你的浏览器版本过低,可能导致网站不能正常访问!
为了你能正常使用网站功能,请使用这些浏览器。

游名科技:STM32F103+TMC2160:86步进电机和驱动一体原理图/PCB...  

[复制链接]
mmuuss586 发布时间:2020-4-29 12:18
基于STM32F103+TMC2160:86步进电机和驱动一体原理图/PCB图/教程/源码/数据手册等开源分享
资料下载见附件,电脑登入
1.png
2.jpg
; t# J( U; a, o  K# n4 K
CUBE界面引脚配置:
3.png
" y7 Q( z( e0 P: `# j定时器1的PWM输出通道1设置:
: p3 F8 G& U: U2 \0 ~) G+ }  B* | 4.png - i, R) X, a( v# I* E$ Z

. J0 v* Q/ O' s* N  |8 z3 I* }- O4 \

TMC2160_T(CODE).rar

下载

11.41 MB, 下载次数: 211

TMC2160-86Step(原理图).pdf

下载

807.07 KB, 下载次数: 276

TMC2160A_Rev1.05(数据手册).pdf

下载

2.53 MB, 下载次数: 213

TMC2160教程说明.pdf

下载

1 MB, 下载次数: 124

游名科技产品目录(2020-7-21).pdf

下载

1.05 MB, 下载次数: 33

1 收藏 2 评论71 发布时间:2020-4-29 12:18

举报

71个回答
mmuuss586 回答时间:2020-4-29 12:55:37
//定时器中断函数参考) u( x5 U8 b1 u- n
/**# g, a5 Z6 u0 D* \3 U& D
  * 函数功能: 定时器中断服务函数
9 ~2 M! T5 B8 l5 ]  * 输入参数: 无4 M+ O0 [+ k$ s5 x4 I" s  T4 i
  * 返 回 值: 无
. H8 e0 h) ]* Q. ]4 p# i0 Y0 j  * 说    明: 实现加减速过程- p* t& o5 `7 z; y: e
  */5 @/ `; t( p# E$ I9 V
void STEPMOTOR_TIMx_IRQHandler(void)//定时器中断处理
4 X! X# V& Q- h{ 9 N0 d2 i0 b# u2 O, d: b% U
  __IO uint16_t tim_count=0;
' Y$ d+ ?# F% l/ w. b' n  // 保存新(下)一个延时周期
* q" c. ?. U, K9 D  w1 T5 z( f  uint16_t new_step_delay=0;3 r4 T- q9 n$ t. O
  // 加速过程中最后一次延时(脉冲周期).
0 s# C5 h# \1 I+ R7 Q( F) h  __IO static uint16_t last_accel_delay=0;  r8 G6 ]# U6 L/ R1 [) N0 |
  // 总移动步数计数器/ D1 H, l" v( v& Z/ U/ f
  __IO static uint32_t step_count = 0;5 k% v! k& w3 N6 j: L
  // 记录new_step_delay中的余数,提高下一步计算的精度
8 I1 J1 A- D, h  __IO static int32_t rest = 0;
( X1 x8 g" O! l' y  //定时器使用翻转模式,需要进入两次中断才输出一个完整脉冲
0 F  g+ Q  e5 V/ s# r2 R7 K  __IO static uint8_t i=0;+ ?6 _% {; ?6 ~$ P' d3 D
  . Y: c2 x; U6 [8 L1 A; g; m
  if(__HAL_TIM_GET_IT_SOURCE(&htimx_STEPMOTOR, STEPMOTOR_TIM_IT_CCx) !=RESET)/ U) g1 T0 r8 V. i/ [5 c
  {
" [/ M2 @$ t$ ^. ?( g6 h% Z2 i    // 清楚定时器中断# q" r  u, P9 Z$ ]; N( ~
    __HAL_TIM_CLEAR_IT(&htimx_STEPMOTOR, STEPMOTOR_TIM_IT_CCx);
2 X& C4 m; H# j$ l& y% U8 z    0 V2 v* @. M$ P* h8 u7 }$ ]8 M: z* Z
    // 设置比较值6 Z* {' N% j: V- c
    tim_count=__HAL_TIM_GET_COUNTER(&htimx_STEPMOTOR);1 I" g% M8 M; R- r2 h6 Q0 }
    __HAL_TIM_SET_COMPARE(&htimx_STEPMOTOR,STEPMOTOR_TIM_CHANNEL_x,tim_count+srd.step_delay);
" o" s1 X3 g8 K4 l2 ]
4 D$ |6 t" T1 q% l( f5 ]% A    i++;     // 定时器中断次数计数值4 w/ F- ~; ?) ^  @
    if(i==2) // 2次,说明已经输出一个完整脉冲
5 O; U- {/ {5 F1 A# ~$ p    {0 _+ {2 p) `: n* k& {6 Q) ^! T- u
      i=0;   // 清零定时器中断次数计数值8 ~+ @$ p' s% p! a5 h
      switch(srd.run_state) // 加减速曲线阶段
6 [/ _1 c' n! M/ M4 b      {4 t* j1 z! d4 L) W" e
        case STOP:5 S  e, J& |8 L
          step_count = 0;  // 清零步数计数器
* O9 K' O& ]) `4 x+ H  t          rest = 0;        // 清零余值5 q/ G" A. X, B* }2 |( a
          // 关闭通道' d+ o" k; X$ X* }9 |) B3 V
          TIM_CCxChannelCmd(STEPMOTOR_TIMx, STEPMOTOR_TIM_CHANNEL_x, TIM_CCx_DISABLE);        2 t) v# t: z) `
          __HAL_TIM_CLEAR_FLAG(&htimx_STEPMOTOR, STEPMOTOR_TIM_FLAG_CCx);' A7 N2 I1 I; x# w
          STEPMOTOR_OUTPUT_DISABLE();: ~5 i" ~" \4 K( Y9 |) {
          MotionStatus = 0;  //  电机为停止状态     
2 u7 b  {; |- |" l. \          break;
3 I+ t: g2 m4 A, j2 t: f* E
# L/ ~5 I0 N/ |$ j3 T' }        case ACCEL:, v: S6 Z& Q+ h3 J6 m$ n
          step_count++;      // 步数加14 G- E- g3 o( d, }7 W
          if(srd.dir==CW)7 M4 D& L4 v6 w9 `5 d- \
          {                  $ R% H8 n, x4 E5 N
            step_position++; // 绝对位置加1' ^5 a: m9 O! c' n
          }! F# q" e; j# B$ K- S8 \7 L
          else
$ Y$ ]% L9 {6 g9 r          {
# S  t# l. K2 A/ D! g            step_position--; // 绝对位置减1* m# F; t# ?7 r; Q. h2 x
          }# s' O8 W3 r' E- l* [( U8 }/ M) o
          srd.accel_count++; // 加速计数值加1' e9 H6 T; c. y: o3 f+ @
          new_step_delay = srd.step_delay - (((2 *srd.step_delay) + rest)/(4 * srd.accel_count + 1));//计算新(下)一步脉冲周期(时间间隔)- e  a2 {3 V  @2 }
          rest = ((2 * srd.step_delay)+rest)%(4 * srd.accel_count + 1);// 计算余数,下次计算补上余数,减少误差* u: ?4 t- N. J! P$ D
          if(step_count >= srd.decel_start)// 检查是够应该开始减速
" M: `) m& \5 M  Y          {
# Y# X8 w' @8 y8 L& J9 H            srd.accel_count = srd.decel_val; // 加速计数值为减速阶段计数值的初始值- X# ~6 t# {1 z% r+ k7 V+ w
            srd.run_state = DECEL;           // 下个脉冲进入减速阶段0 R6 ~; w9 c1 m; Y+ v* P, O
          }$ @: w) G% q% j% h! v8 w
          else if(new_step_delay <= srd.min_delay) // 检查是否到达期望的最大速度
3 x8 U+ B6 k% u3 h          {
$ v% m7 `) {! s( \8 t. u" z. ?2 Q            last_accel_delay = new_step_delay; // 保存加速过程中最后一次延时(脉冲周期)/ u4 i. i- b- u1 B) J
            new_step_delay = srd.min_delay;    // 使用min_delay(对应最大速度speed)
1 b4 N& r$ m, }4 h+ \* R3 q            rest = 0;                          // 清零余值
7 u0 m& h* B- A- ?! ]+ f            srd.run_state = RUN;               // 设置为匀速运行状态5 O: S" K7 X7 d: T5 u; p
          }
$ r. Q5 M6 o  S8 n  H. ]          break;
9 U0 S6 }) j1 }- j
9 }& c" _1 A. v/ p8 l/ v4 Z        case RUN:
8 j8 p& N* z9 S% y          step_count++;  // 步数加1
( w4 m9 n5 J; q5 I# l          if(srd.dir==CW)
7 r7 x) c* y' f! o6 l- |! k          {                  ; Y" ~: c0 ]  e5 @' D/ G
            step_position++; // 绝对位置加1
3 ]1 G: E9 e- h          }
9 f/ a6 f9 r- b& x, S5 W6 b          else3 {0 c, P% i' B; Y& b" N* X
          {  I( E" j- ]; n, y2 B# d7 d9 x2 S) [
            step_position--; // 绝对位置减1
2 p( L2 L% X8 x          }
/ h# x; o* C3 x          new_step_delay = srd.min_delay;     // 使用min_delay(对应最大速度speed)
1 G% ]  {) O$ o1 b% l8 l1 V          if(step_count >= srd.decel_start)   // 需要开始减速
/ I, a( G5 z0 w2 w$ A( {          {
4 `4 c' r$ z% J; h$ E# w            srd.accel_count = srd.decel_val;  // 减速步数做为加速计数值
/ v( F7 I! S) `' g8 Q7 Q            new_step_delay = last_accel_delay;// 加阶段最后的延时做为减速阶段的起始延时(脉冲周期): q5 _; P* y* o3 X& S* d. g4 G9 t
            srd.run_state = DECEL;            // 状态改变为减速
  b  Y8 `3 I$ |. S9 W          }4 ^- u" E) U$ W4 e% J4 w
          break;$ F, S' v) C# w' w* R
  l( k  h  d* ~8 Z& q) m- o4 H
        case DECEL:
) Z: q2 J. \+ p8 \  s          step_count++;  // 步数加1
% g- ~% V  Q! H4 [          if(srd.dir==CW)1 _, d8 N$ [; `/ X* g2 o
          {                 
$ V3 M4 d# U' ^- r& b& @0 [, s            step_position++; // 绝对位置加1
+ S; h/ ?% V0 ~! o( J          }' l% V& x, q' g7 U
          else0 N4 g7 ]: y' e% ^- d0 Y$ @
          {
" b" n. W# v* |7 ?/ }4 A" p            step_position--; // 绝对位置减1
' N1 Q7 r* f+ V7 V% ~, o          }- U$ G% z4 k8 c7 i9 J
          srd.accel_count++;
; O* K: ]5 L+ ~$ [          new_step_delay = srd.step_delay - (((2 * srd.step_delay) + rest)/(4 * srd.accel_count + 1)); //计算新(下)一步脉冲周期(时间间隔)1 ^! o, `# m$ Y4 D; @! e
          rest = ((2 * srd.step_delay)+rest)%(4 * srd.accel_count + 1);// 计算余数,下次计算补上余数,减少误差
" U" [! B5 l. D: r8 b- K         
. D9 |$ ^& ~* k          //检查是否为最后一步# y; x7 p5 A' q  [- A" y: N. H" m
          if(srd.accel_count >= 0)
- p5 L8 m3 ~/ c, `0 M3 [          {. d- `- {5 r: o4 A- V) o
            srd.run_state = STOP;
) F, \+ d7 Q3 O" S; C+ s          }; _( T  [0 r# Z' K9 H, R
          break;2 s! Q! s% G* z+ z& V% y
      }      
$ ~# V8 E" A  e( ~1 G( m      srd.step_delay = new_step_delay; // 为下个(新的)延时(脉冲周期)赋值
7 x, ^/ `. G$ q3 |8 W$ X" J    }1 J6 S/ o  e/ ^, S4 j$ m, I, c
  }
: J/ F  _: \% N  m6 _, a8 T; p" k}
* C; _8 t( x5 t1 x
mmuuss586 回答时间:2020-4-29 12:54:33
//相对位置移动参考(参考硬石的电机开发板资料)
2 t/ `$ W: {: H# G% {: B8 e9 S& P8 r/**
. E: _# t/ k6 y. ~  * 函数功能: 相对位置运动:运动给定的步数' y  r0 E. v4 U% D1 ]( O2 s5 ?& B
  * 输入参数: step:移动的步数 (正数为顺时针,负数为逆时针).  b$ m4 \0 k7 O; a6 o2 n  z" F9 P
              accel  加速度,实际值为accel*0.1*rad/sec^2
) q8 A5 b9 C' w3 }# s5 O& g              decel  减速度,实际值为decel*0.1*rad/sec^2
# H, X" v9 q4 _              speed  最大速度,实际值为speed*0.1*rad/sec( P: [  ]; G" R  _8 P5 N) @
  * 返 回 值: 无. m! |& ?% ~" l$ C3 H
  * 说    明: 以给定的步数移动步进电机,先加速到最大速度,然后在合适位置开始0 J; ]& e2 a  W
  *           减速至停止,使得整个运动距离为指定的步数。如果加减速阶段很短并且
1 Z8 k; R; w! {9 O  *           速度很慢,那还没达到最大速度就要开始减速7 Y# g0 u# s6 n' G5 S
  */+ @0 q1 O( f- }, b6 L# X
void STEPMOTOR_AxisMoveRel(__IO int32_t step, __IO uint32_t accel, __IO uint32_t decel, __IO uint32_t speed)
5 t) s+ A% h) G# {3 r{    c" F$ }; K4 h3 r6 q
  __IO uint16_t tim_count;
1 P8 M0 C' j; h. s; u. ^  d, |  // 达到最大速度时的步数
: ~* q' N3 O( p6 J7 l+ u  __IO uint32_t max_s_lim;
, Y# F' j; }  l" e4 ~  // 必须要开始减速的步数(如果加速没有达到最大速度)9 |# K1 a8 j, C
  __IO uint32_t accel_lim;  ]. I( i/ ^% M
# r% i1 U6 d$ ]8 }) p4 F5 A
  if(step < 0) // 步数为负数
6 t) A, P% b; `5 ?  {: }% d8 v# s7 |* _
    srd.dir = CCW; // 逆时针方向旋转
& p. F+ _" g3 e4 D( B    STEPMOTOR_DIR_REVERSAL();
+ |  Y* R: y5 v1 Y: W9 \    step =-step;   // 获取步数绝对值0 Z6 m. T' p; K3 y
  }
; S' t5 {( q7 Y% e  else
3 ^# U* r- e7 v1 M  {1 T; T& k$ T/ J9 m4 y; x2 O# B
    srd.dir = CW; // 顺时针方向旋转+ V* e# Q. I4 K, m- p# m" m
    STEPMOTOR_DIR_FORWARD();
/ Y1 A, }6 t7 F: F5 C  }
9 I+ ]7 @9 V3 R, \  R6 w  
- A% E$ Z! B7 d+ y  if(step == 1)    // 步数为18 ~) Z1 R9 H6 A. q4 j
  {  q% d( a6 w" B, W5 g, j
    srd.accel_count = -1;   // 只移动一步
7 S! o: s; o( v6 e6 d/ c    srd.run_state = DECEL;  // 减速状态.
3 E' Y6 g5 [, r6 t    srd.step_delay = 1000;        // 短延时       
  U1 [7 Z" ?4 u6 @, }( ^  }
1 t/ f( C0 m1 _7 i  else if(step != 0)  // 如果目标运动步数不为0
; n# K- J+ J, P0 V) B  {5 F8 W/ k+ q+ y
    // 我们的驱动器用户手册有详细的计算及推导过程
' E7 t* }/ {$ _8 a$ A- `0 T& }% Q: M+ }1 B+ N- C% \( e: O1 d6 r
    // 设置最大速度极限, 计算得到min_delay用于定时器的计数器的值。# l* v) f$ q. {# y% x" A+ y
    // min_delay = (alpha / tt)/ w1 |" p# f6 F6 Z7 m
    srd.min_delay = (int32_t)(A_T_x10/speed);
! p# C4 U4 q; W6 g  ]$ q' ]! H9 t' c  L6 ^8 l9 F
    // 通过计算第一个(c0) 的步进延时来设定加速度,其中accel单位为0.1rad/sec^2
4 t. F9 }4 |# n    // step_delay = 1/tt * sqrt(2*alpha/accel)
2 J/ N6 A* b- R, g, K    // step_delay = ( tfreq*0.676/10 )*10 * sqrt( (2*alpha*100000) / (accel*10) )/100
0 Y, h& `" G. }! J% D    srd.step_delay = (int32_t)((T1_FREQ_148 * sqrt(A_SQ / accel))/10);0 [' Y( b! W0 E

' ^2 w; |! u! c2 n. a    // 计算多少步之后达到最大速度的限制; d' |5 U) f' q( A$ c% M  R' J8 d
    // max_s_lim = speed^2 / (2*alpha*accel)2 a( K9 Y0 `7 H1 ^: u; D: ^! q
    max_s_lim = (uint32_t)(speed*speed/(A_x200*accel/10));0 z! G7 g8 O% w, t: C
    // 如果达到最大速度小于0.5步,我们将四舍五入为0
7 }6 q# I- j/ |( p% A( q; \    // 但实际我们必须移动至少一步才能达到想要的速度
" S$ L) p+ |9 `& c4 y    if(max_s_lim == 0){0 F1 u" Y$ D6 U# F7 b: J0 d0 [
      max_s_lim = 1;6 P$ l. X1 w, F
    }
- [: l- ^, x0 R: F* S, J
mmuuss586 回答时间:2020-4-29 12:55:03
// 计算多少步之后我们必须开始减速
0 \8 H: T, [! i1 z. I    // n1 = (n1+n2)decel / (accel + decel)9 ^% E2 L$ @8 n4 z1 d1 D4 h* T
    accel_lim = (uint32_t)(step*decel/(accel+decel));" K' {* q: z6 S
    // 我们必须加速至少1步才能才能开始减速./ K( V! }& R$ _' H" a
    if(accel_lim == 0){
) Q; W$ @& R3 h% V4 @      accel_lim = 1;1 i9 P, D! Y9 D8 D. K
    }! q6 u& h! J3 {: Z# ~- F+ t& @
+ w- z/ ]/ G0 x1 u. y& c. U
    // 使用限制条件我们可以计算出减速阶段步数
' L& c4 x% i! \0 a& W& p/ ^    if(accel_lim <= max_s_lim){
3 a; n% T5 s7 {% c" M      srd.decel_val = accel_lim - step;
& M$ L4 t1 E6 F! P    }9 i* R, E. E. E5 l6 {( B: r, o
    else{
4 E9 f+ k+ j8 c. D3 K' b9 l      srd.decel_val = -(max_s_lim*accel/decel);; z# a' F$ E7 W2 H6 ]$ b
    }  t( @' h+ S% r& M4 v
    // 当只剩下一步我们必须减速0 E7 Y) Z  a* S$ s
    if(srd.decel_val == 0){0 @, \  D' J$ B+ G$ _( q
      srd.decel_val = -1;
7 K- h$ G4 I6 h1 R+ F5 |5 ]    }
& g3 n5 X9 d$ e, F! N( E* k1 G, N( Z. t- P! T$ z& D) b
    // 计算开始减速时的步数
% d" P: U, t; |% M' L    srd.decel_start = step + srd.decel_val;7 T8 H& ]8 T/ [( h* W" C" M
% W7 V( v2 N  F$ h" Q
    // 如果最大速度很慢,我们就不需要进行加速运动
. D1 l! d% }: f0 F7 N    if(srd.step_delay <= srd.min_delay){
6 z* s6 q1 q+ q9 i. Z8 E      srd.step_delay = srd.min_delay;- V5 I' x- k) Q! p; l( ]
      srd.run_state = RUN;6 l# o, j& ?8 z: G) c
    }; t0 v" n! P# T1 L7 ]' c/ b3 G9 Z0 j
    else{3 O2 A& A; H. R( m* a7 ^& e+ H* j
      srd.run_state = ACCEL;4 c+ f4 o1 c5 k9 X
    }    2 b/ I. ^; B8 t2 }. C
    // 复位加速度计数值
9 t, ^0 f$ j' N  A8 s2 y, K    srd.accel_count = 0;
* \8 ?4 L) l3 R- S1 I9 t  }0 }, p% e" U+ J  U: F! K
  MotionStatus = 1; // 电机为运动状态* _7 A5 ~, @2 ?0 l% b$ Z) Z
  tim_count=__HAL_TIM_GET_COUNTER(&htimx_STEPMOTOR);" n1 y7 b' n) ?# {. _: `
  __HAL_TIM_SET_COMPARE(&htimx_STEPMOTOR,STEPMOTOR_TIM_CHANNEL_x,tim_count+srd.step_delay); // 设置定时器比较值
; N6 E1 O1 u+ `- I0 U; I  [' W# _. C  TIM_CCxChannelCmd(STEPMOTOR_TIMx, STEPMOTOR_TIM_CHANNEL_x, TIM_CCx_ENABLE);                                                                // 使能定时器通道
4 U/ z, S) O* O  STEPMOTOR_OUTPUT_ENABLE();
8 j3 N/ Y% N! F5 Q, v- R}  G4 N6 @; b$ X* D3 H
mmuuss586 回答时间:2020-4-29 12:32:29
一、概述
TMC2160是一款带脉冲/ 方向接口和SPI接口的高功率步进电机驱动器IC。它能够在从NEMA23到NEMA34及更高级别的步进电机中获得最佳性能。它采用业界最先进的步进电机驱动器,具有简单的Step/Dir接口。使用外部MOS管,可实现高动态,高扭矩驱动。基于TRINAMIC先进的SpreadCycle和StealthChop斩波器,该驱动器可确保绝对无噪音运行,并具有最高效率和最佳电机扭矩。 高集成度,高能效和小外形尺寸使小型化和可扩展的系统成为经济高效的解决方案。
硬件完全兼容的TMC5160提供额外的运动控制器,使步进电机控制更轻松。
参数及特点:
2相步进电机,线圈电流高达20A(外部MOSFET)
带微步插值microPlyer的Step / Dir接口
电压范围8 … 60V DC
SPI接口
最高分辨率每步完成256微步
stealthChop2,运行安静,运动平稳
共振衰减中频共振
spreadCycle高动态电机控制斩波器
dcStep负载相关的速度控制
stallGuard2高精度无传感器电机负载检测
coolStep电流控制,节能高达75%
被动制动和续流模式
全面保护和诊断
紧凑尺寸9x9mm 2 TQFP48封装
应用
( Q* @0 e  ?" a1 _, F1、机器人和工业驱动器
' f7 i7 e& N. A- c0 u! l; h2、纺织、缝纫机
4 i  k6 w  Y* l/ }3 t+ \9 y8 k3、包装机械
4 p2 H, {4 f9 `) {- e4、工厂和实验室自动化
- Q8 q7 m! N# w. d! V7 K5、高速 3D 打印机7 a) r3 u( M5 q
6、液体处理
9 Z) Y& H1 A" K: o3 K$ f) ~. [3 F) t7、医疗
2 F4 x' w, E$ A$ n8、办公自动化
/ u( `! A3 V. I3 G& i9、有线闭路电视5 D+ q9 P8 ]1 A4 x$ A; m
10、自动取款机、现金回收$ l! l; W% i9 Z  v+ @. V
11、泵和阀门
1.png . g3 G' Y3 ]) s' V3 V: {& F' W/ W

6 W6 O$ m, @9 s% U% }) L
mmuuss586 回答时间:2020-4-29 12:33:15
二、硬件设计
$ d' p: H( s6 m2 o/ D8 p: f3 a电机驱动主芯片采用TMC2160( T0 U4 h; X5 A& J5 O0 [
TMC2160和5160区别:5160带UART控制及智能定位控制(如速度控制、位置控制);& U  _: Y/ U! `% }4 v, O( `* z
TMC2160和TMC260 TMC262区别:以前版本需要通过SPI接口设置细分、电流等,新的TMC2160可通过拨码开关设置;
0 p4 ?8 Q! G% M5 c  d+ H- x
mmuuss586 回答时间:2020-4-29 12:34:26
原理图如下图所示:
1.png
9 L0 P+ C$ @- d

# J3 e. o, Z) m% Y
mmuuss586 回答时间:2020-4-29 12:36:58
补充说明:光耦隔离接口如下图所示:) K" j' F9 t( t' w
1.png 4 C* |- P; l7 D+ Q9 J- D7 N

$ ~  \2 C9 n3 v8 T' Q有条件的输入输出最好加些滤波和保护,输出加脉冲整形(比如加74HC14)
  X7 Z6 L" }0 ]. a- e+ ^
6 i4 l3 \) F1 Y1 W0 N# U7 u
mmuuss586 回答时间:2020-4-29 12:38:45
拨码开关电路如下图所示:
1.png ( T: s* ^& N( E9 w" g
后面开关设置说明详见第三部分;
2 c2 |) Y: l, {; P( R0 o9 c" Y
mmuuss586 回答时间:2020-4-29 12:41:05
电源接口如下图所示:
1.png ( o8 x1 {! K7 {) T0 T4 _6 C5 L
母线电容CE1选择:一般是1A对应100uF左右(有条件的尽可能大);
电源保护:有条件的最好加防反接、TVS保护、以及保险丝等;
电机接口:如果对EMC要求较高的,最好加些滤波和保护,参考电路如下图
2.png " e7 ]1 s3 _2 m% |" C- B# R

: E6 ~/ `+ o& ~
mmuuss586 回答时间:2020-4-29 12:43:53
主芯片电路如下图所示:
1.png
5 m5 y( J9 B! u, i( B' n; X" g
具体不做阐述,可以参考TMC5160的中文手册;
关于VCC_IO和VCC电源,有条件的可以外加一个DCDC电源芯片或LDO供电,减少芯片发热;
2 s8 c' K4 Z1 x8 w# M
mmuuss586 回答时间:2020-4-29 12:45:57
PCB参考设计(最好是4层板):
1.jpg 2.jpg
/ f- ]: {' ?9 z: E. U9 x& S9 R
3 p, g' J  f% }2 @# H
mmuuss586 回答时间:2020-4-29 12:48:12
+ G4 W. M* @( K! U6 a3 A
补充说明:

: f3 B$ h3 m' [. V* @
芯片底部最好不要走其它线,保证地平面完整及散热;
" q2 L: _7 N1 T5 D4 J/ v! g
电流取样电阻: 2160外部MOS,要差分采样(开尔文接法);

- U. a& F  l: F7 D" s6 S$ H* k
差分采样走线参考:
1.png " S% _$ R* }. ~1 H. u

# t; ?/ j$ f9 W1 ^/ D3 G5 M- w7 ?
mmuuss586 回答时间:2020-4-29 12:50:00

6 y# \# V; U/ j% ~
3D显示效果:
1.jpg ; h2 K$ [3 I1 |/ f- l7 K5 W

1 c0 i: j$ L6 f
mmuuss586 回答时间:2020-4-29 12:50:39
三、拨码开关设置
8 g0 \3 G0 P3 ?2 p% p拨码开关ON:设置为高电平1,反之低电平0
* c9 d8 }, \. x) t细分设置:CFG1、CFG01 o" S( ]0 c7 F: T1 q% v% H9 K
CFG1、CFG0:8 O# ~' g9 {' v
        11:64细分
9 X7 ^, m/ O6 b+ q. z2 ~' O10:32细分
" C# e0 G$ o) @5 ]! o01:16细分
/ }) ^2 i2 k+ D- k) f/ B% F00:8细分* ?) ]0 ^8 y7 n- W% M9 U7 `& P0 I) x
运行电流设置:CFG4、CFG3、CFG2% p* ^9 b4 u8 b# D. J2 S
CFG4、CFG3、CFG2:, C" W  H' l3 b9 N
        111:IRUN=31
8 n& p( Y; R; H1 a5 M+ z/ q6 K         110:IRUN=287 D2 g( w/ |: F5 E, n, ?, {0 a
        101:IRUN=26
4 s% d5 {# X* M- K100:IRUN=242 q/ n( l: o0 z( t8 w' f
011:IRUN=22' J4 z4 W+ a/ L3 [! \$ v3 Z: B
010:IRUN=20
% J* F8 D! h( H' Z9 O001:IRUN=18
0 J, Q+ X0 @3 ^3 I3 u' T% l: r000:IRUN=162 X% [- P- d" W- p7 P5 v
Irms=Vfs/(IRUN/32)/(Rsense*1.414); % T( ]6 j: o9 I( N% }/ G& W  v
Vfs =325mV,Rsense为0.05欧时,则最大电流为4.5A左右;
& u/ y/ p; \7 N7 W  V( `" q
mmuuss586 回答时间:2020-4-29 12:51:48
1.png
3 @* R- o: C/ `- D" [" M. M7 O
mmuuss586 回答时间:2020-4-29 12:52:33
斩波模式选择:CFG5
% Y8 O- w) ^" ]1 m2 x. eCFG5:% w3 l2 l: f+ ^
1:SpreadCyle模式,低速、低平稳运行模式
9 [- u2 y! t# F9 b# H0:SpreadCyle模式,高速、高运动稳定模式
/ w' ^1 D3 k% @9 G) C* A6 z2 L8 r保持电流设置:CFG6+ @& j6 ~" s: y. t9 z# l3 W. Q
CFG6:0 q  j1 Y8 S0 V
1:保持电流=运行电流/2$ }. H( D8 B% P* q" n$ O+ i) H
0:保持电流=运行电流
! h( y  z0 l# d. L) S' V" O! W2 H4 h
mmuuss586 回答时间:2020-4-29 12:53:13
四、软件说明! ^: G5 N2 h9 M* {$ \5 v; s
DIR+STEP接口模式位置控制(无需SPI接口)7 T' ]3 @+ g+ X3 d
COM端:接24V或12V或5V
1 B7 z2 b. E5 k, N9 pDRV_ENN、STER、DIR:接集电极开路输出  h/ B3 V0 [( P0 Y! n  S
为测试方便:
' e$ u  w$ n: K: ^2 I4 L1 h. e        COM端:接3.3V; U% F' H7 B  z" j
          DRV_ENN:使能,接PB141 _5 [9 A* M8 H; R/ G
DIR:方向, PB15- k7 @3 g# X6 Y
STEP:脉冲,PA8: Y* f4 W2 V* q" ]
12345下一页
关于意法半导体
我们是谁
投资者关系
意法半导体可持续发展举措
创新和工艺
招聘信息
联系我们
联系ST分支机构
寻找销售人员和分销渠道
社区
媒体中心
活动与培训
隐私策略
隐私策略
Cookies管理
行使您的权利
关注我们
st-img 微信公众号
st-img 手机版